From 31d42b4a1764104fa7af36cea646098a4dbaaae0 Mon Sep 17 00:00:00 2001 From: EmanuelFeru Date: Thu, 12 Dec 2019 23:44:33 +0100 Subject: [PATCH] Major improvements - reduced FOC computational complexity by up to 20%, from 85% (old) to 65% (new) - updated Field Weakening by allowing more freedom set-up: field weakening blended in the input fully, partially blended, or fully outside the input range - major improvemets on current and speed limitations. It allows seemless limitation protection -> very happy with the result --- .../01_Controller/BLDC_controller_Lib.slx | Bin 53530 -> 54879 bytes .../03_CreateParamTable/tableParamType.xlsx | Bin 13132 -> 13167 bytes .../BLDCmotorControl_FOC_R2017b_fixdt.slx | Bin 194318 -> 201071 bytes 01_Matlab/init_model.m | 84 +- Inc/BLDC_controller.h | 455 +-- Inc/config.h | 46 +- Inc/rtwtypes.h | 4 +- Src/BLDC_controller.c | 3014 ++++++++--------- Src/BLDC_controller_data.c | 163 +- Src/bldc.c | 5 +- Src/main.c | 100 +- build/firmware.bin | Bin 20692 -> 20276 bytes build/firmware.elf | Bin 395000 -> 391284 bytes docs/firmware_architecture.pptx | Bin 46414 -> 51722 bytes docs/pictures/FOC_algorithm.png | Bin 39656 -> 34628 bytes docs/pictures/FW_architecture.png | Bin 30501 -> 35069 bytes docs/pictures/FieldWeakening.png | Bin 0 -> 29476 bytes docs/pictures/paramTable.png | Bin 62474 -> 62842 bytes 18 files changed, 1884 insertions(+), 1987 deletions(-) create mode 100644 docs/pictures/FieldWeakening.png diff --git a/01_Matlab/01_Libraries/01_Controller/BLDC_controller_Lib.slx b/01_Matlab/01_Libraries/01_Controller/BLDC_controller_Lib.slx index 4e276220833c095114c6712b5093eeecd3a2d886..7d74270d5e9cbd31417b0723da929da33c4e9b95 100644 GIT binary patch delta 41254 zcmV(>K-j;Uq66Qo0~=6F0|XQR000O8xVgekt}#;FVF3UDx&iW%WlIU z5d0O3yA3#U(;%BjZIx3aRc)$Py%D@gP;G1hdHC;(A92$tQg1Lb%nrMo&QE0#9Kcvr z=?u|`pa8V2a;3K!dS5NVdlXnFbS{cYLxvi#D46q9)=X9gUQAVkaSCkUjkIO5&d|=e znqh3^4oYDouh5>{RHhWpUw_RO*Ft`aE#R1tJ6wVjxo`rvhG9KAqQNqkqh-A}MQ4yp z3+oy9bw_*Yvh*VJ(H#hJWNJ20iaDejn2d9rF$9goN8IO%jgKgFNR=eO>wq0()u zB%r=O!ojvO4KLK%2-Bqa5=@8UOd9Z59{3WhkA7x8CXY|61>!NGvty7XVN6$)GBRUH z@|EJN_pM=nt_+_{Vbdx`pv_YV>z0198wL48!(jtv-(|WY#$@Gy{TGeKAtkGruw=>z zxrlZ)=*k;7sCLV^pVy3Zg-596hb82%-gCO{_%qaZ?$5gMAG4bQ>H!L0>^|zNE&u?7 zF_Vu29efM2l@{_F1!{Q6n}s( z1kP%Y-4F;&V)P%3TmsZv1cDjypOU<;=ljicFMr>Mmft%A9;XW14st#na=(*%JCxd@ zo;mGnVT43MNT!f$eRX#>>QZbWeOH3?*KD`g8bkQHASyrbRm6OUllHr>4}U9gPfrRv zrhXhxy<}hhH}Er||A5_bhP)c==WP7t#@2%3XG>razRS)TloPmQ?t4dv!0)LM5m9=bM`@SC_}-?<9SW9K;G$sxfAbeP-uh7zZDDC&a%a z_+=YDl8HsaEW^Q|P=+0qkXyaelTY69-+!Ek1hf9%>?b1;168*%!hOt-#ui=04Gj%T zeU6+!-X>!r;yG{iyDVW&ks}+?QeJU#&~&|X)Er@JW;w;NPDvs7QL@x4n-6j=IPShzdo*2f|sAn@hL zQH0*i++6$VQ<677+rFXT?+U(rVaKd_MIMA8k5uYkKQyRxpbKnxdW(rM?tkXSJR-0? znnp2aATuiqLn6KR0eR!uNJ)!R9Jz!a_Mnm54J0X^t0f;-5*Ct_=7>?~VLyd17GTQOW`A#ayY z{eoEfrdZtFx-yy6v{@RG$3-h?IN+N{iCISFbbaD-As9|o^a28Negq%$^B1ZbFC7g^{=+9jrhn$y)`xVQ-yJ?I z?keTK^Cp~tyLqN1O~f%+mnU>+6ox+@y)5-JX^Ul5_J7fiQ?-M1#G9KrTQ)e0 zDPFU?X8a!7oNIB9nx;lbgA9Th{b;`3uHbrKiNJj>v|)v1#zCO=>qkh>$IhDRCnk5- zm>*gM(Xh3R;gAup;f_CviGEV*oX4O#GLAHxct$(wxS|XEXYHBJps6m%lx~5gV zS+(bO{V~7Gypn~LmD$z5%YsjznhUgZ!bUg|Z48TAFxfxdbat&lL1qIqnDNQ3LkC-pruGf#3-1@!l z5+^@kBV6$LbIV}vy~kZ7`FGwK@Txq2e)sd|&sqis5ho{}Z5OVTj;9SmfC|8K_cm;oem*0tt>+Pg%hWON}boUvs&BY?Da znlw>8^sfDH6WHk4UC($m@7k*w-+hw2`ze^D;X?sLUuS7bf?JoGKH93<83{m(@YRxl z{(sjjI4S#nrnF_d$MVnu{#}7N3Jamdhc~GDj#Yq-ERxQv`?>1<2FE5*25!o0*9L}% zUouE~%=SudWB1omn^jFF#K)5-NZ3?N{8@cogM65;iPJx6c6l6dbsS$ZJv0>Z_pg=k z-Md-ezER=e;9S0Y^hp;sG^lf-`Dk;}RDbwJmM%~8k}JHHIZjq)k~Qe^D1+tnd!JIP z1Q}_6pLPPVAlvx+Pg!0b<7jI-8s1!vHNm9!y|`cAkIBhNmy;dSjX(ADQjBElzl#)I zTm(F}rU*dP78fnpIXJRvYw6R|)72h4AS=QtyYX$s?n}_xJU3NeHCFm_8s@ict$zz1 zEZlC`dz{@C*ex_|tak%WYO&|q97N3jcBlAH->f%^OP72xKXY|ClVZ*ohX=YuIN5Y+ z*P9_rCX+$-NjIvlPD)o-S1wma;8{ghsq>sda*tIEQk>Go#pR1-mD6+-$Gvy6e|)w# z_ou;4b_Va=A*qoWoj>&6T`Me^kAD^YLwjHm(K;umt*zbUeg31j7dNA?)9-jX{9Y1Y zR!t2ZNKA+Uuhp;N(NT2a#Au!4TeM8qzw*3i{0BiAj+L6%Jm<`BHs~cq!iTC3eEpgd)!<8K zX=$m&Mdkl2XMWyHSVUxA_J3+$wv~r8^M%CmTEMTv7PGR<;$l+pdb+{qN~{#$3~#?; zlqTd$B1%-p$vl3xk|uK~KO}7AwRF91@7FB~pAW^Tn&4**r?}g7`FDUx)YV(Em7|jd1yhJod^A6Q{v0jKYrUtah_zLJ{C|hVhp-yOcRyX? zdbw2(q2ceF*|l5W?bSr^S|%#&cMpah2z{V*AXaDYvHlXzzRU7@Z`NgqJj%;ivc7bM z`v;Xke}zOs{0*%99-_Fku-3I8siz3Va3Z~WJWK&RzFL}gp#|-LFL(+BGzdHdNm_GA zaKM|)zkzh)mS*^ED1T4x8viQU5k7)d-a(=*MOH^ks-(jxh9Vs# z3VDI!yW=T^mIGl8td;4e;emmH{P%r098(~i?_~oT`sj7pDNPSn23pO8$PW(>P3g=d zlvwdYTjGB?{i&ma{)jeMpF8vhj3b3=3fKKWp_bZ2^6&`*Du0U7)As1ifukmj0C^%u zq>V}DLf{*ysQ7_Tu18*|8YTC7>K5}I9A~dUwHC#54#_XLgee?m-;7G-c^7huCc@f? zF}OrYs#=K(gA{sin++p{6(4RR9yH0veNdQ)Fo^glg%Y=tj|q&P+I1msb7gtAtL4@o z^S;bw)){dlkbn2Kl^iBTbbEJ*nl_b9YM5bBm=bG{0dJTbGwtu5dkhQ=VqSafIu9SZ zEdHSQf*P-7S_bXMkCroh@+9QlDro7rLx*G4q3q;}YmGs^w%?e82z*)*w=B6fFCY8S ztRH$q5d)h^UmD#qc#mM}>FHrfbdyLosQ13RzVT77(0@`!sA6kt?Pcdu@X%B&Eq86} z+{;>@#y-AlIknR`;q6$KW%!7G*WR#i6bfzXo|(1>GT*MwQuKB%6`#01DS4v&=cEa% zlc}V~O6I&5FYs%qAnN?<&w36%lkuRh&t_z7gl|lFuNT?a+biC?M+9VzZim4^ohg@2 zhLBok@_)6pH7y+-%#{0KjTcLIe)RQq_67WN)78^!u`0(ws*%2YTI<1b`!)${0=}}c zG9s{cyCLoIF(NQE^@g(;vipN{n)o|_uRhr;q}(<;PF!beVM#+&lCjkcKMg&7^g?Hx zIaCH%N2y_v=Tf5n+1tY6;xL7*CLpQHS0$(#%75dT09kN&USbFI7jckXZLn>}4_O$Oq9KP^CVp_l zf+3~Q#SEvodE=G!8RKwP< z6n_uKA)*fKTW(!H6y)Q?3_{qC7L%MflVB&Py_}jtsUQ(MX)_nNPE~2AeyfAIw9?+f zzl)!CA#1Y zI6!ACE-x>vmo#=Ll1WnyTRnVu4Wz65)qnFz$12md2nv5oBcIK?y88MH=#9wO9~vB- z^{AcO^<;kYGx<4E2BC(7WYSrsdVi|dcvR{?q|qI&wt*v z5TsLL)mD4hM;Y1HC#}LueO$BkEa3bJI&pz`UQR6TpK9?Wvf%V3-?gU(fJ&YzFE39> zzx2D)R;9*88F^NLhmc4o1sFZ|KcYrpqcZt}9J+S}{4Y)-CTjP4B?rdFA|L@t9rP=D zUhH=6!iZ=UvdGOlV(2{1pHw-u1Aim3ifGBWuaN8VJW{G6xmbM=(6YDGRc1Sshmo$T zyNkul!GUx8_HBqYO8WXV;7uX7^ox}o)V;di-8S{(HsGa(e05a&+^Z+`?n%kjSxE$e zPR@YIP@cv~`yGFQS+^7cwbTDd20zyC&rpZsC2DZ;X2ge;e185zZ6*C{;eY5j1|p#P z7Sr_#T>KNH?-T2`A5;{>oHT&=;trrZ9G(ib5gF zUo+Z5)%K5%M_yd`qH0_@ct_u==dNsQv<>8_&bij`J57mw{CE%+E8%}3hPIAyCVyIB zENz1goQ17R#$*89b~uec@Af`I^zAil*j2g@Ty(^a@BBJZTYP+*S=5 zQwtDcgYWLP2FDF)JyujSGDO_9QDRhg51@kf5{jilI}0}Ei~gXS-is8`&+NA80R!r znq~ar7%Uz8S>vTghL2kfYR9|Yxpo>1(X|E%J#v1$eVj%}s^shIEBEXVwBo{4joZma zc^|IdolBS5CJZ1Muz!|D9Q1xp>9P8lmlxc%B;hdL*%vP|Utrv!+}eT?@q|#XrK|fAV6a~}HanXY-Bz&BOnZkA zlO`r72d1ZEq4D`U?d|QehE~xuEQ9%}q(t@UQ-&{kx4@j|7k?HKQd6Vgjc~3Dvf~5Nm5&q0sw^~;Quc@;Ng?UCK9gxEFTaM)$bgf9e?kRiGUBTKcoV@| zTJ(=B2F`XxuvuddG4OU^agNpTqC z!XXr#Io3RvNIwDoNEsK5R0^K1eNnEt{~JIM_%~*sD}SY3;Tg6fOd+XjYd(KDRL?A#T zTG`^HTD%#8ULU)Ov(OsEV;^SQ&Cb< zX6o_`{QeyVHPSE4P>ENNmDT+0PWT;KOpT#x-&4WBKV>1?Zp_1W&((-RLH$AN#u@{z z;@5~xTUw>$7 zDkhrd&U`DGY}>;J(m0^4G{(LZu!D>7IqoND$6WsYT>>1Sb=6(a-w3)viZPCk2{r`q z*Helyk2t)Q!0W=K%ph}gJ!R$*&-FGl0a$~fsDpz8Ic9SBY_brSEvauw;_+7HI`#yUOSdV{}cjOr`k^sZ-Q^4*bV zGBxCV7jLdW^78hR%D~pSCbKeT78VTXPW@t(JXG|Cha@2(Vbh#*|KK2IsKP*}z8(L@ zOe53g<|cny512Vxy0u~5dwVru=xVt-D}RUH_mlZCuHDo`zAk!m^MOK2WsMKmJ#M^W2n`M8;^l1%!oo`q z{0-LvG!uqm((s|0-f}-=o&V<|Es)leY3prZy~9co-xsb|7^=&&S^jiv)xE_+uz!q? znKB5aoGF`ZRHABTHm^}$pUlr6Ay*8r0M;JTw=CpmV|+^?LgokW@4Kk)?vZpc-%)%3 zXb@Y-gOs>G;;@h#FcXDhV-ji4w6AS!C|O%C2lj&Za^1eoP+A0qIrEIei_6{J9i6N! zN!Zrd-fO~}Z7F1bT8K2D`hMCkwvWC0hTGKy0&G7C3 z56Dr8838f~feoW}T4uxa>^3zKCdu*dq9@^R-%>TwHqBW;PpN5Ys#sg!1b@xpH}4>} zsWANVxE!~n{%9ib$u}({Qjc1OTY6vc@1aaHj~RotA3X{^Iy#bJ99QJ{j5F_A!%P_& zta6JisR)cr=Bf$JgwTb5O9@_Pb3v{(oofm0E*l#&s5}1SbCPj&nqgXIEs}PQly&<{ z>@LC3n=U!JU4xEUJc%KO5r2p6S0wpjfm}3dR#sMxf70hP17_XUtB;t(0{;0<`JZok z{!Ot?wh5q8md_uOhbmq_oDafdY(gLY$dCI$k)2!E&O3`?VAY%g!M4t|hHLBSPzsm) zsDMZUkji@VCLSPWIEt^c_-Spa=dKyF7@94*k0uLO!FY-8)FBWjM}L#g@ao*$fH7z*_+Jz%f%iUYzna6XxMXASCroT2law;mG%lXi`y1AX-o*5YlEouCB<5~S7 z^(!XXSD=E5Sz0EbMQ8$A#v!JGJyTRrz-07VOu!&&OSn-i4jBp z-2PaZ`Pf%WBAZl3-GA?!|D+iHo%RK=%E)}Eu=LvR5fym8HXP{hp?y34;K2Q2`|95v z2qDcTfe=FU3YEbHpa(wq9X&>hIX7)1jc>ec{C8O5xmhvV^7o97GLkt#O$#>gaDDXL zk#{Xlt1h~vNS6NT)2Ha8tp16KsC3B}N2_aER5*nrgdxrS+kc+1eaj0o|2Ah7D(U#p z(Kad@nmKu=3_j3Xp{1qOUm^#P9d4cBM-8Etl;TGPRJ#dG3?qbSY}4ayQqr-w5egOa z{rmS)Jc&%(8+YnnlUDL=vAVa3iKdg4j<9lgfqzV% zgJuC^Y>#3+$sd|4uH8bPf$cI~?J{9>DOJe5Rp)?_VES8EO=>@UICS%K#(hr3`}gmU z9ftx%pig|k8~=CaBbd@ZG!*j0sU|m04q_0v`&)I({(r;dlM_!1YwPn|*J=;%>Y^eN z*wl~#o3``q#=eh%`w6dKx4cANPR{%bTh0iOSv)r^GsBU&*ip2ww3KH}IH(=v6cW0I zgM+iOy4vhmHI194wNe$%K?@K?mTbEABY_R0Ye+bDyxf{iTAJbNVp;aQ-bt583AC|D z4}FeN?SHxyW$fPE)~3`LZdV{IDtf*ty6au$NT&{79B0t34dT6fw0hbR{DiW(lx{3mr_wvlSUW4 ze~;umt5#zVh6RDV1|!+o>$(G7YPLC1fl-#$2d)WX+baLW( zr8T>M3eASTlaV=K0}(SgIhhu{we3;Y$)npN;^MZCFTg+->W}}lF8+Lz;=8W%iE6vy zoPW>%w9A;Z#vf7Ywyb$^xgF3+|E!L}S*2&&zr}19R~eRVYpSOD@@VpL)kIhbRM*?L zZx85r8}@$Pq7`*Qe*OCOEL}&ha3n-CsMKrU3P1;_F9#qc<tv+gIaE;QqC%e=OG``h--u0?@soI|z_Pc$UuHML)7IX8+WzbNjeoe# z5DGRGVlHybj6Sj_T6QxHAOLnEa8-(MKjNZ;Rpv$7i$7j?;E}#EOiN2ketBVOsi}~P zP5Eih9$+yzT3A^Ln!0>0EYt?z%pdx>ShBB7ec)Zs5?;SbRy+O2dcdi)U}P=Or=Y}g z@Z*2?1?drxsjvg%DGe}ZRDE{``hWZRGrjfn^@Z**)=o2ilTy|$`20C|be*C9j7(Yk zGB2od+ySkvyTcD3m|p$6^qdXC!^B;G*R_N`Fq&nE*yHRY#n>?&^LsKmA; z3z(ztZ2|N`DE3l&)>(+f553yhP(8E?q@b8#;+S;DSjE>@N=HWrm!lWrH-G2RNX3bQ zv(a?Lx5>>f{~j0W6`55mA9~NZOPE$onwA;t0MrYOzqjg1y*_+?l4AX#dGc9fY*bVf z27v`+YBBc}t)^}0dL!RmWrvvt1{eyxs1vb)Cg&S)p3_hb4$K*Q{GxGEd-xL*6M$(0peuECb@I73TiaQ6 zp1Z8DnVRVmq2Imgec55sfehS=84Lud9;+#5t+x1>WqFIp_Tc zIz%=mkciKYNa*F~pdvhf`GLUQp&C-!ETj}jswwvsUC)>IPm`s6L?k37A-uvw696+h zCU8}X^w{p+y_>23Qhz0<8Cn+|N^){@E#;;ySjjQ@jOy?+U&t{!u<%pW8QocMVWuKf z)LfckqEg1W-CD`alc>JicI|;2Gy_NqBq9(BXNh$Ab_Hw&{MyNGAU)@kow?G}&m_4- z1zKD?tN9u8UA@x` zNpIcvp?&CqY%Fwf*yHj9HFn6otASRV=$o)5qtQo49u^j;dp|u82nufWvj7(Sof+sr zr;y^|;ejqySUvyF|HhbFp5H{8ssU#i`JY7fS~1 zB@w?BvhK|+Eq^7CjEu~*m2h~21uQuwe1Sf{kMES`d_(YJ`Z-PcJD6iNx2=thoRJYD z8*TnjWJiZe%#FKI+~yUA@AqeOikBe)@?S`ODWeJUmaxLz)AXqp3rCNLY2ro~GutzSAiJHnmaVWdgB7 zjcrvxB1J>TMcs%blH_IbXk0a&vR{ue$&E@dLsHGv+E; zqWX26`+t+pg98H;gHb!q{8rt`r36B6lbXQ?%PfArE>+gMfcg$&Cr2cUxv-jw^eg0DuP2P*x2fY+B{I6i~?_ZT}$zwa{rud|!(e26!=ho$Ybmv4x5w>-7m`!}M{UU$EeRust1#VPWJ!*Q^yQ4-VxPi9%LF?AV-LZnjb`W^QrlCuSE!UQQQH|n*-xn1f&1Uyv{ddvI%1T7C zG-Di%2+bQNOl|IXlTXP&b*X7-S)=Qan+$4|sgy&hDgd0Z{R%6;eanQ&h@{2dWq(W> zUB}TF(kdL0--Ha-C_V8q2L5&uJfU)o4k7-73!7s zri!O)Lgpj55sQm32>nl+%0YzQ-hYffD!YGRpc%V$Jx*)mw0Lx6ksmi-v8xDf zojZB1>N`N~Cq9Cue7I8+1N;Hu^E_9bo){HR9ECfAsW_jJbXgbHQYc!y6wT$G|#dkoKkm1yIN$ z7Z4Ee1PMNm6_9$bR3!INha2ra=;N>vJ8&C-V4+VP2!4t`AzrzlzMMBa15T(3s~pB# z+!J6CUcY%GVA_J+Xlsx$ZGZA|p28PU@~>NFQjkJcphA}1g9qsIE6m~~)TQ9by75(% z&sI&ou@99pi;N5-5jC#~L-dr#q~qlT)@%~)R@$?MW>DT9?~GPuT|y;ha;2%XDxhjx zTU$?nRyM0^2s?Xw^zB^etl`noRtQU{BGh+`y79Y@`SJGCU#sWRN`HDdG|udwUdV`( zVo`jSMsV@+#;BbP%0V#)FCmbmuCA_OcS9ZpXiS&zl>FHLxeec;W@}*WAVN!9= z!$WqSS_8u(>chiB|9_B!mKKG@A44V0vVLql0QpDu7$<(_@FHJ<-4H@l|B(;)AS zLLu$dm$I6c_p=6U&}UtZt0w&0*DqEXH5$Uuf={1z z=|7S!cLip10sqiJwrS+n8wT}CaCbY-(&cHTZZl!-PQ6P+8h_4a7UC|G5x2OwXj*lR zJKL4mO!$WB|4xS3?1n~1cAuB|`nkobVC4_3jvf-yH2=27-+(o0xY)Y~0JbqzBV;CG zo7xddL{sWGDdM~L^CqY?`sfgS1ybA<+q(CCb0<>(ak?grUM*U)#vLep=(MPos(c1S z)#470f}JT6pMQRVJP^@7AKwxhMr6DtYdpqOq!<0^Vg6aon-f=^mv4shAB1Fcy_fc( zW>bBo1P(gph$4yWY%MeIj89Hp5mlp!R7wVaB%x_zfi+1MZdK$E6&-!Hajm$zsTte} z3Oq!eNtzbYE?7aC%>e6f-*r(!ZEo_^JQv7Fr8t5+UVnMGIp9T!kRZyuRtIzAU5|?l zD+qymP0Q#A;U~+jdV;%_6aXNVtO>(I3aHee<{DE_Xs_1df~ag#VW>Ev%Gmv8wDVWu zEmM)Sl$rIlwfOh%iEB`#;jKYHX|nphah+J;iHnOCXe8Z=RLH`&6?xSnH zM1Zy-rhnSuNDQgjHY7(zqn+|EFjg^~RNzl>MMZ_yC*2>veqm}1{pjl>vu_GV-#Zf* zr+dSx(IWeAmkjIz(gb9z8Wj2=i>%L|34shq!?AAZ7bD*?Ai;zntQ}n*A zx3@%$3LEsEoVIR;6J=Z{(VC<0;tvY+jcm*y6MwD4dg>aK%*y)^#Zch5(Z6qY80cSN z0=3v z67x&bWy3OYBq=y+a5h)fFTs2p>kE>AlEN6CxCvrzn( zawaT1`Cb~F*GL(qXe;G2(HrB@Wg*z?RDTuT&WT{>;0SdmOHWTXE%z!HXTxRV!Ers= zG3^)b!RB?YK`Exbo&r2l!#sr~Pt70PX@WlWMaKmln}M0Df5+A5TeYobFCfGiR5?90 zD1OdHo``u0*#;t^F#49RdEe(pg}8pwWd=wc@mw9c${y{MloXb5s&pwY*RhST@_)PE zDI(N^U@{P$&AO8Xl0_U1l^oU%&m#Wf><)m(INW*_8*Ax78Fou~zef{{_jGTGqKcaw zpZ3MEnpKUo^DII_LIUy|`hYJ~A?R_rmGX#~85eYPF{*}g7s&$70i;FI=eq7Lk&u)W zo}HbIv5bEc%^kpokxG;UQmZvJHGg0ktxh-Nr`7UN)hRHhldpWrgV$@pc_G-sep5Wp z0{5ZldE<{($P@u{l{QB!OUtaXG77*EB|Sa8wmm9!c1ln`Ro(|@Ju13e|IIY``9Q%J z>heI^R+5U$H!R|O>=Z7iOW0;1s4TT_@8Kb`@P+0-h(5!1cYnG{s%3wTUVoY#C0`Zp zkByB58fm2-;U`C*)eYFx@s%|>;bwoup@>wXAX8)`$A`$lDwE^BGus^Tm#c0Z2JL$| zq7O4od;9h!Of%xWdw&3P`$gmV^mg$J4%S!$UKIxihepXUa3M&K0}~TbIjV82 zA|jO!kcDAkxVpN!O*X>W#l@ry3=Ch0UO|6CG7u-m#o{w=hyfmxv4lXKB1{15_@lRX zYeA?2KpiRRL0nc=HU?$`-UOmIRx%wY`;S&bLnG7nhG9`kS0s$z$zi(WvWW_cymnLY@$+$! zI4A;VvGEJaV}3Uq}wC4b;a^dprJC;4fKcf9M> z9z0M5)j+@7QMe~)KYP$JGhjOG3xA3e))FZbDX_bit}fN?$`%^<2rK6rM$;le?p0M) zGmxQ_k=U!NtI4tf|4PTYdwLFu#_2v4lY)41KagPIhY`~GsWas4Moc9{^TPJQhe#%=l z+4G%{k@Owk)2O{Y*BCk>Tn-M7wmbHLP}JxkmWrGEicrgj(>o71rs7&mKzTC%MXWL6+m^l^rPs1CkU^{ocJSy+Xh!;3Qnfa}`dWC-HLMDk`!H3yIp>+r>P0 zOfr1Ei;9ZGUTh&bHQ&=xXK)+y+ZT>R<>hgXZF;~v2X!u>A9%^|JEDVMj(#qD9!eB2 z1%DDmMxC8`f2*o8|5N7$nM(D^ z6Z(!Ax)8u3x%?p(4i22hj~|D8^z|T{^mwn7+Vjd_9(Bng(!vRm&xy$R>j=q4U%x~2axe%rVzyVu#5 zMX>kucot25&%S9leLsm7!MosM8N^p-;WcTm9;k+;s%pFK8#2&kI1Sp@MDup*(=dPb z&u78WY)0G1q}9bmyV>)%XYW>Xc)$0Qyiv4vlUM%dSEnzZhqK^iI1gS1^ULIF@2RFU zy7V?%f=RMk29#X3S+;(2{;%X0GPD=*(Ig2!1=+Pv_GZy#IPqtM z62-{sw1_5@*@_FM(TYsS-qT+Vm$2QwV(9SdStk6?RjmW{xB8u0_N3IDeqtD>(5~vLf=|rQ)@k{vKQg%w^1C{MmQlWW$)?B;3DB&_DeV=%#(k&59f)Y z?>)7+Px&bbFRv1Od%~n-oi^Khb+V3AqID7a+2_S9S_aEwzeQ)0P3Ptn{m88K@8L8| zqGgMfcA5njF{hVy%(Xw>|^}y9^z4phu%bSafI7r%E&~5WTH%(jh zR7*2dUA6ZWO?MAm)3IG$(>z_ZbmzC;6AcUPB)VQia~OJazHRn=*Dm5uJ7e;GeEH#- zeh7~bmdTeF^LP;e#dy?c&0T(_BD}Q!o^!Gc{3JN>XR~vE@_YTkW9EMqAIPqE>L)&? z?ekeg_G@ywOW6e9!N%2V7qrcu+o0*HB5o=l2uIudgpjY%&m;2R_y%52J$OC3Jj(t% zfzQX^zr8u3AICAg{&Mu}Z%5}h$NzftV|?`U&GF*s$G;u@_|t#UkFSpWo8$j>^yBYG|2R6HAN}~@XnJ&{rY%p$@Lzf5sROSc zE{}gYdUkX4^WTo2ADzB9dU15D9{u#<=pTP0&n3P4`wiSzJ(|$_oACV#`t$N;z3b?I zZ=okHbo^iGdrG5cW!G}LTmW5;KO8-q9Gx8<|9tf9!_lkDBl~~o`O(qjlHB*FBe?Gu zxNq>c(>1Zo_B2Ve>?TJ*OyJk@GO#b z&&n#VukpsGV!MAJO2W}9i9p$zz*kM-|0J4UgqN@Uxqlff-v%ih!4$JgXwukP%nvOqMXi!hiTe|b)|t-YuJ{LL(R4Bh$WGI`u}3E8Lv^hNK^ ze#x%`9f)jg*9)>GdXA@AuIh1~6U;KrFMp19P(10ye6oKGuE}|MsCjDbu|JGE2=+rN zTXCuQo^)&$Kp#&cA|W=Wg4ytBK93TrJMdd)8YR)lekYpVV&;<>TE*-+A;%3>-8!Cq z72QCKQ}XnA-1%T=`Zii7aIAv-z;9V?mGvkezxe6w~i%0um9wyzbPJ=kSoWJwumm)ex(IS2`r=#L^^u^_z zC=wZ2OR^SFS2f8ky67y5X2(94dqK`z#T^YYdqg@P#XiPo+UKkJB%9aO>E-S@wBfTk z*jEd!k;Cd)d%?yZKdhyYMFo9 zEeQ{(%$a30F3I2%QA%*pPtk1p=Hi0GH`TibRIU*=%TLL@r^_%Vy%4mgR;ljWQv3C% z0K*5F?D%FLko_zXwI(e|cVQzqT3!opJ)OQMYd8FS7X9q=Ps^U;*-?MROV_XY~4+C_SDoWPk>_iBvWOE>lE zybH+I7R<@Ez}>XrM6NYW&%9I-=oA(hm%Vtyy@HA~!IWNfw7gthQ(I+y7+rk^wQRCl zl3$WStl^Fd?9JgMOvv7t&@(&TgKEc|@P0qM{}Ly`b$jd1T^H0>0iKF|MKga4YhQ7V znhCQU`y!b0X8B#PAbV8mVu~{hc2SsDZIS;h42K~1DAvwbl0=1 zE$M71^c6N%a>?pE9nM~EE{s-l>J4~Loj#_fvHUu)f5tFSGQ@^;wrrA__@4gi8Q{2a z$cv@|JxsIdv?;93nS=|QsH%Um+q4w*CT~^R9wkJcJ6|P1oGMYNc(?cT#XNjMb=W^Y zPQcb1CJmLjDz||F^(a0fPYfrZ(ln;5u3x9rJfU0QyI@7#iONMLigB-cKB3;kHw&QZ zhd5B;)p<GFpJ#SF?YmW*Vph8p4}} zPoDQ=FIoC?B0Rtf*;C%F=0H6{U1;(ym@fUBCwt_^d-NKr0Y2GF&txJ>P4}KIW>NC3 zdZ1a~|4Lr>$-m$Bvv(eSr@H&u5BhK4Lqqt|g)hB*ctacM7Q8R6Oz%-`G=d)*cO$PV zeXLI28QDKG`)6hU?CgJ^^V`05VEy&m{0aN%W!y{Y6TgEFfA_;Uqg#!ZK-@wx$)=)U zzda>gkHHl9t8y94+cLUdBu`U4N(o8p(4Q%jbfGFl@LU0dq>buV{tUmtk)6J&_GVn` zPGi#jS#$|3wTxz2zkXasL^;bX_(Wf#U(oZF*;%@{;1O_nwzhv*J!C!WjBs-GQSiC_ zB*wEUdR)gN$F*nHiNgO$NR)z>0?Op>ro%W{O~dFgQwvL#^;Ixm^`I80WqU1l;?b%< z6QxHiJn#*rY^h7WSsGRa-<#YX@O^4AwY&~aRfulvU+BTBPB^cXIn_g(;~vu?_aVi#|G6ZEfh2|IxhXAlvJr2c7^%Le?}A#( zI4P-RVyR_i)Y6=tQOnp4wO)nuSHMu2yCM6O6U^chER}yi^_B$6rK<+P4jx&JCfzP< z8H9y#yb2js=|)3%eLM3fxj|t@6|*GZUjeEVTe__^LrBB*shclWK)9~808QS5t@TWB zv-d>tT#`||nS%}NGFU>i-Nh`rVO@vfhWD#N6CrQ4k`ZiqjG%!gq5}_ja@2`J+uz=CkE1uSW|NeV^qoc`Wb)7qxh$;kn5LFls6_{?tkCls%>{moQAa~>6 z=iPkzDu8fCBFijhLG}YrzC$ypcmvG){ z_rrg<*$Y&+5dAVm{i5z)x_xLwG*cgg+A*@a&X05?WJ7|HG>_c%M$#dc;pGKE8jg-L{55Yd!>Uwf9J~Bb9`C)X@!gq4LdF$G7vw1V{ z4Cr|U1Ck9w$bqIz4%E5?p=>A?ZjD=Py03rNFHh7hOWdu3!XvuG5rnldg#BUMa2nJ% zSA<>uG&m=F{3Q68N9*Uuj<>meUQ=CaqyVWXm5FV4O9uJ}4yH@|VL^c5TO)3d8ER?g|tq>2vpO)9=J&DJ8(mS8O&JUY7yQk_Zh z=TjvipU5^tKQ061Jc?$4KRV9ZI@&I2uw}M%h<`;C^fw;Pm8(z3z%%mNo!nv zV{l+i*le(|x$(xfH`tAB+qRvY*tVT)Y}>YN+u8W;`_;Wwx4x>Wr{>JmnIH4#O!w*T zdF6I{_;IS2DT}S+sEj!LoED^^3E&+s)AKWPbQVwUSSjGk|9t#sGCk#xcvY5ZD1MBW zY`7=^C7Y2#qu?mQ_Mk)%TuE7SuwFZN52(3w-|nk$q%~}eAf52kR5nJ+YbQw~ue`5N zXdFsoE@PoHG1pb^e9enIWFVh9O>a@k03W~qoz?a8=iA%5>6ol(^*_njZvd~`%Rb-h zewbQYR;LX>>S)D;j(UTaR#qTe0pNN zZsWzey^njQ7g3uL*hJRnHy|aN!d}b$-9R@jXsnxx!*`A`A9NuE79~NHLlU@EdqG<3 zX6jV*S+{SDIG%lo5CEpK`3H{OncHrv`&U!?ZQq5_e}7V?dJ`)=56J#Dkv7QR!nZiv zb9{OUK58OJFH7g}Z6_!$+u4U4#C$Z>c^e|B64~{FebFtX;H%psF;{vDP;lFwKIcvAspEMcAB3nll(2+$E|wSuyZD-= zmf2TSIE!ybAJ9g>_H7`WWWhYuVQI`#dgfye+J~AFpTxWrWIg&pY)PfhtZ4WY(wZ0v zU%@HsCvMNZ71~)b4q$AsYB494u#qv%(Dz3$iXM%)h9icEntQK_5f_kCQOBi0?pw9j zqpGJYY5Y)ACN#`h;ax;Ra;FdAIr1wA7rERL)US{!cD%vrDi1uvk~Z#+ki(fED@q)d zvuPHm%Fb^$c34^jDKMuvDJ;rz%&Ir6+NbIXm`D9Xfh8>o2Beg6sXdosgFX=iTdc>F zo!H0nVtQSQr)kFe(D!>p7OPC-2EcguSD`>`I+enC@5?I*UrwE5X($?flfA^j@!EtG zGH)Qp!Qf`4@GS6x^@bqS3ROad!5(0ZoZr!exaD9a42CWXkCtcrnzN z&cY=GLh}9G-pfia#97ob;usbA-~0x+D4kDdkD2?%nkCR*D)umim}({Bva5ecByLvc zXmzd!(%RK`jzvk9=`G@_{U)%3)&6z1d7MZtJ_bHAKuy8EX`$UmjksyCs9isHlpzs8 zeOP5+06gNiEv`XSbG9EPMa3MF%a7d;4_II)k}t?fnj2iDD;il*U;Cpw4(4>Kf0NAV zt2k;Jdrz_byn8vZ|8xwpp``QAI_)8`FEd$pp+~gX!dEzO0Q-fp{ux9i^T^GiG(ekQ z{zhycFNMVJ4xo`IloPXr`;^&%t*uHRSXH%G18SH%j3vyKN#L^AFd&Vzf;na7b5qBW7<63-Jzg{!r;rFESlQ`Njf2`1Ae{tnfawtC9ZJZn46<93YP zY3xr(+d9M}pl4?gtSbAh{yr}ol|<5aQT|a}4p#0Vv#6t8)QePsYrCYZ5)D^S-f@87 z1E?M-p+*j7`|Cbd=M=(FByqTpN>hmm@}(S;SP~EHQSR?UkH8UX^*3)#bxs zYhR*$8o@VIGoxmwdM~~*mNUue#vLpFuwk3@dkyEVdH8iK)fFVJEpEC!Zn~|fZgq`(o&pID zmk<~))14sOy?bf!n#O+C7_5uU3t3OS`P8$PYU4?3xxZ7OJBrmJkBA5UTNuj50(kMU zJ!{wVpaSO@_j3j5V}-OiVzF6kPqjBM-=v1x2F+6X(NTOE1 zlU{f#Kg}+!v@zN+j^%!8Qpf0zzqw7v`+JjiUna+`|7|^`<7j#f~CT%8eqxFx^&K%;N8BoG5p3Yz39-ZGaqR!`Q*)X!@f@P zVq=O+0ueotsq8XLWtkN|X`dpH8-)r+6_IMkX+-PLEE95cBPl;K6zYH4fUCoPxg^7y z!`AqDG$YABGX(8_I+K;T@9IEbRsGP}G7|E+mtVKQ{jHZ+BA;fz+rv$c2w*};EmD}r zbl-nG!vWv+xV>P}YcAAZrir?wWk~;>G06WI&*@mxY^T8Z0KhEj-cz}yCHq$fRVzhP z>%Zm(L!ExBZa_@P_f$%6A3RpaF1~x6#O_}G$LOB=_U#Trl#HbHj@6wZH+TlH%!kUy zik3PxDZ6)E!*8vXQX#qj0R*AcEG?vB{Z1BAE71KyN>QYwseC!{smCb-taKiB2+XVLrENxtMt{F9$&U#YIE!LFr?) zhKJ?5hu>ta-uczbS_uKWQ|qtj;n(u>gjCi7tBHyy*cooF-W3FP2hht)Ysfzc8>)1# zlh)WoRr9@%>2**KfV8X08`sc~r8xfOaA=)-QV9X|Z=};)9XzS6nOCFc2D2BOvr_@h z8HA;IK5t|9+k#)f^-v*RunzG0)o6u>Azj>onrmoavL;Gz^YY_*;! zDJA(cp`^E%&sJ#9A4C|XC)%UYYkbc~u`f=`@m#99cQn2i$Cla6cP3!b<))H$>2iUT zes!%4hod7DQEV+Ga(*t|$f$$;{n|5ljHZEo7Ae`hx>+y4p|I5>8<#=6Kz*Y_eONso!ZK}IDMILdx?-J z8Z(~j^lAjmwtlal+71#fa+c4-T zyQbY;KkX?yr%-*-SD(|gaymK_RUwwFL6x`7UQZ$zR z$sJj7IDya?Pzs3YZGcCrSJHQKkMaFAGn1>65tp3AXlu*!V`@^B^$QtFa;9lZ;0@~? zu&k8mo_O+vO$(&(Jf1(FR=m4q{Q4vzdr##si4&}4j>Au+!4H?snT11d;Y))QpP~OL z#c=6#UAe1wzzr`Czo7&n$%~wVUNK)m0c|)}^2-cfp*^AC<8kp_4V^y`;aaR{#KkRx zf|tY9HNzdXQgyPU;KqdB>jsg)rTG9I0Bp-eCT-MLY)-2>Y#JAHRhnbTPW>w8+7?yZ zH4;V=oK`mD`!%f}i-qa3yLz&Per{TnGt*hXv_G=L9-ro&GfUQf*h#TxjAFRj(kK6r zthV?abcF9La$f92yoafILz016Ah@E#yqLIjcf69V7Ng@>jmnH3`Qx9TqjzWtIR7rV zZpOsbq#I3StEp#(Iq8%3@k$n^v$v^zY~E4nbBcOOwzcJ)&6d1b?SeR<@qv1Mjp+e! zkUmLv^sZCYnGAzbJ#pep^{z=^i}nUhqed>{39suc($!zFe=*eyvk$y9(EQgd_#r-Zcu@_kDL#e*5^G$-g(c6 z^On-i-yL`RZE;NX($so?yy|a8@3Sw+gc_s2f1<)~jUvbe?Wuc+?Oy+LGU{;5ZUB3IS+gY483 zmA0PAXkb-Q0TH@5Hf?UF)7Ys~%r{&7WI9n+u3Y674cfhpltXcEKvw`=bFIRMj?M}$ zjs7$Pr+%qzJ~#Py1ih?+r`J;bkCa&p`8#8tJl$BT9j6o-ULG)je)=eTQE;h#3K~x7 z2Sm=gtN{-k4nsdgjr;$mp*oPNRqO3O-}EypiuIk`Foo+40d*~cZrG!8f&~vqo_ReA zf}$mTVh87^9*)Gi+W4lig#Db0N&kq#!xQy@F)CS=Uo2kbL5}58lh2vxd3*uT60(4` z`@z^{MSOC#I^ADVev5~)jQ}PPYeX$vQu^_~g=DZ1Tc4j2s<7$*m_{}7FzN=1zfc_mjvLZjItk?vR_ z22HRAjXGQavQ-J|C&pdpjGixFEj<9^ftAw1snKReP4U!PYw(3(8 zLZ$KqRL5o`32d0f|n5*@6}}BU>_0L^wP7yVd$iyF*>wC&ifja^rv4Z z`dql%%Er+97UiHE4Pbw9LjioUG1JpE%X=2<8Z;;+%ZnADE$Axs1jzecDiEwHp~cY| z#=Jn)gKU#{H6eW*(0|1J2}(dhXZl0rk!*0h%0MM8n@@E**RF>l*i+$9o8J6^zgV6v zdvxQVlh3m0pd0ydK0bKQ{>5DgnBN zWaY-%Ggj_h8{1nqae!~xxK^8lBA7xjqMMt28~DjEvIzEVH-4POVe7U6|7J>Mvdh_F z(C2RKOUnUktQRalNg6=-P8D()X@rl0)E`fqnL;Y~qUUQA9hWe%il5?~%4`%LnW;U$ zScp#ECoAN?G*(0<^g8@qKi5QZd7+0LfkrJM4qGhbj#`Vp6r(br?B>vnz4>@L zl#uB;p=zO3KXUtL;D_!PwOPH&3rMjyPv(5@RN|q<64Oo=&M=S`sn)`$^^XbxaorAP zLrm+9ZWBI#0k0mpO>UGzx7&vgqBTs#^l*#N_b6n=RZ_ZVjx`XKAUa%^tLLwvUI1%Q)@iglS4d>fghcCNH;qQipn$dsl0PPO%q|X`4=F3yhI-Hxzu9vVF^1y!lN({3=#8s-lM$$6X$iHv1BG=5u za%jiNN=(2)$k(Uw8|vzay0bKNIQE+z0Qmrd1Xpgj5z5<~t8_uF$t6<2cd z4CebIWU?F%i_ITlCjI5xwmr5G%0rLyi)2fwD0!Kv8V9SG_(dfq@bM^pMT|D5i5JPsGcgI3dm%_lN zwAQc=!79O{h_E&4_0NN6sH!Z^=Ap~PapAhtSxWDm_lBhMX{yJj0$g1Qu z;aE3=gZ?3{%znyJL=R9>NLy*fwMu0kYDkfx?`3t7Y*Qiguf zO^}o*e)5sF`wmQRnji6@H&h}75SW5blEh(gDwK zWc$&X#XRjSdVs3~eA(J^Re{ge3h#=1 zJ&_)qQa`+urAD@>_4AT=Py%A2@GT{>^a}*o42ZlE^fxgP(z7gRovy*&(_-rRE2R7L z!~618B(#nwn4e`cuv$YB-Dk_3$^vDov= zsvcv06rER1U5nyh#ZT@T*#g4@_xJ`Pqu)|B^vUTkj(TAsj?1gWM@Ke0$1#qgGxZV( zqf1#XRwI9r1fOPUz1+JqMkLu9p1lz7$>B+HFa5RC(=t)T=rf3?RrA-Atm{$BEq$Kh zCKm}(t^K=GCd6HYg|2g{kGL`M$KP)flp0}|qSwPw3%&yRXEr2MEh?b8ELR(Js1$}# zh}qq3*O;qpcdQmFbCG9Nj+GT&xgT4l_7fd51xCIW0$C|+%gR0p8RJ@knLV(- zUn$2>m2=IBM1QHn0*P8@K-!0 z(Jt{}SUgnJj}9(xn8?S=7bk4tQP-Fs+JQoWKKWApht1u^y3|0a0t^%etM9{)+-I67F0{vNy7~ynW2Ttg3ut`;Z4`AMMXbt8|#sQ(@fY8 z`$al&G97KQdx)1<-N$2{muz^ z4ZuG}`4$Q;B-4&Uc5@%5s`}Jg$u%P#uzg?+4r7$X5DDEV2QvElZl^~r7Vo(tGr{b|wqlPJ;jP z*aWH~xB++waBIu!`aDo9<`SMz`=rwR5L(oM0%@3dudSz>5Gl8;?@BXZ`)`E}jBpyc zJ8*uO_7@<9yxiPVZQMsqM0c25ymjhZ z{^T+QH7gJS9BdZMw}NWylPE*H0!`Vy_tJs&!IFQ8$N92@v<|Rq2aNN^FUX`G4BY0U2yLof9KynoMZp827ifr^!_+_rD4Q3ipeSr{jplD@pQ@A%_Gw_0Y>Jh z$o>ICk1xB|a`$(ziy1BnP_(J)BgGno15oj(Ba9i_)L$cp$AFp7sMD;w_2_bK9w4Sz z7yZ@o3I5RE$--$@H>92+q%`R2kKPj%h@;LclO<{h02z|r_BYeJD-ZKSP*M3F(r&qb zLE^K8zgGAoZTa(#IWs2S%wEhk)mvsVu(7(QN8`;Ohj`vr1RyPNd zrTG;vV*Hyj==*0TlgHGI6&4QL7(noOxCcfoO5H4Jtpg7i!n*Tkm-i~iJygO=NVi}6?lsZ|KM-?kP%L6N;cDDL^U+U1APPS`+2`PCP z9=fep5fwlRbDWZPntrBps)@LH%B`k)=s*4hl}P;U=~oCbY&#y5t?;~sVnaD3o*$3P|b`F8kk)~%d?ags4Uq}$&+gV+zmBR*%O7{kYg_SsW_WUtn`V%itf37ux3n57vf#R{alLuJ6Q^I-#!S_@Tpo*7XnoQ3 zX6oaE(B;~n%IS02yy$2*%_I&w(pa**wi_09pC{Lq5#W>!fN(tizjHiBGz7&sm}O?@ zJl;^k1*yHx7Zm=h3HJk-bfZ@+PK%T(VyFcaMr_vY7U(P*Wo)~A`F>+L#+i|HKvN^J zV%w~~*|nz8n2a({$%6n>q+IVZl5jCWxKyJCFM65*2RYXPK0UMY6<0CiA$a%s33}~Z zEz1n6Vt7zJfb@jno6Ce=m`5uN#y3mQHcLKO;#3cQS5a*}Gq)(ESA3&+u;0n3uzh8q z#C=H8j?NcYRZE~uuMdtjOG`L%$}&l((u(Is17grCz?Q;${@ zc9Pd+w&+5l_D5YD+))?7)V_u8g-^R22-T4&R>CJYhMGqby%B(kMTN=;8U^ zK*`?E1?dI-L#Gaj0}g`GHDXA#6~8j+O(9@sVVxUAdFv)QJ2hV__#yW=wXfx;D@8fD z;}9%?_JdrQCe}U&u)|FmA2rq~L#K&sQ$Z}01Y15nv@jVQg?&EwBrX}%h z3JDC;TZ=azBZ$V%WcnT@q*BwlFDZKEs#ILr$o9`faQ9oL`suNMLAxeUDwlQ}%U6@M zWc!PKCH`d%HTWRNsaMg+&@QQA>asd)a)U}#y&ai)LTFvb>Z#7*S)DYh zq_0*DkzU=+F7p5M@TwuwZl2=h!4q{r9Xf;H_$_lGx}eX<$64-VvZ4w?8kvPN#h_vF zC#zVhyO_GSo)Dfui;dIZp9fPRfDy#Xi@p(7bw1xfCH_EbNx(YQSVHRFs5 zf3ZyV0q4Touz4KeXQsR+KS7c%BPI9l^|1Mg4KAm>hFR*H2@>8OYrbPv3-CA~z1h9I zzBmfgN+&?z+(`P57|O#Bv(J2`@{r8aw-lDmzJwCz%3w8+At0bQ3pDmjaL8#n5;2R( zx?u*<{a)l2S#Oy2qStj|J&9p*>Yk2*>VD|nAOPpvWln-c$%I>olTn7zdfn9uPHHcL zr4f>pf(siBdE^Hlr#&YnKAi%faUr%?QJHvP;WEZ$sPL00MVoiks!hIi5wdxS%Mp14 z{x-`*5?7lt!#g2~HbCS1NM6ych21u0;}wzmE0H=^_pD&uLvlh9f;6#Pi8uz;ik(yz zmtyyl)cQYaiNR1aKFk7voEo21TW{D~mOe@#6&sQ61>QU`)uGIlWbz3}p42^Eo+;!q zq%sibf;rh*ZiBI6+4OOhGD?vmeVE(h01bf5<3c>SKqvN&unF_y@RLX~LQ^DjKS}hI zdeF9@cHW#&k$8#-N%X{~dVYVImB1wmv8VjTMNG1G5?w_ace`=ljeSNab zPCQJi%{UY~zps}NZ;u)9fmb#_(O{AbSSFENyhu7TE?Gg%XU@fkx5u#yQ?60xUvnvR z^Sr=;QgZCKTCbu|jVfy!YbbND&a)me4-sV!87*yJ*M}T*<@a^gkWBjiN_UUwNY|X1 z7MGk)JJCiOzu&|Ny&=;OwYD*4qQ6wwls)lHH|{6)-h{klW{NiO8er9_`pS|CF*lck z{nUzSxNGt2n7gU)^>~j?6NA|+J2^_iUwBS3leNO&v`BnF1||6_IFkB;hq?KX?Tu{6 z={C^(qAps;OV}mE8-y8#44)gqZ&<%XiW4d=Ba;MELYd&zC1M^Sj5`^*rdKX5m3~#8 z2&NOdmW6QsiNymLRrfQfz!n^&{!Z0N>X!liB01d0U{ubJ+KD^{<}=JZac9SYtPw+x z@^cCmOdQJ~HJQglU{7Lp@MA8vhCNtn1cPZKI&asnONyWvU-3^Y>;Avw8&r~#B!4PG zcGHgX`mx;_h;-{-0*#G5q>D?Za!N`Bc=Y-6K0bRnEXrsg!7#UFN`*)+y_O7EL}XPo zZ1(n*Y%Q{*3WgI`*zqge&9vc;tUt(!>QN}$A;cWI_?2Ij*mEI6Lei+caJ>on8WJ=L zB^w6HKS#ynqMGbVp+-ga8M3%5U9Bj|;b?K%4vx11qalIu1wN@&oKnpy84Yze)lqV@~4R4)|uvR8S+L%y{Uh#T_=MuM* zFl9^p*??yI@~u;_iy^pkEShvzSKUnDI{wM-d-Y2Xe1ku@Y|doec@aO&jH>3vZ1t$1 z_Ku)Cdh!oygDC=jLkLyi)yTxLEQRXF3dmS$wTqG2$faiM(D0n3C|Te0RV_PIoIOLZO=@0xQen0# z<5oB12vl^n>6M0MYRQ~b&9ct?=s*QhA=&KcH16hoKvIx5Z$Y*ESI_>H&A&DQl8Nm0 zs?dsS;NN|Zk`!5SIl19YBig4_!{Ks0QKf-V$VVeNJLGWJ_eKAJ4Sp?O=f^MUn{WRX z-oNVg;$i~_K_tAuPmWAi@qUW-^h5Wha?JbbPRxaY`~!7%kUSXyZPpnHt<-G31^(t> zRmp^-YfQ<>zDuQQXmnx>*;%r=2E!xEnmqX&vq|Ys`S^Gx%5rpL>}Y~|Gii}nSo^aB=Z)AwK@^&oq=rR#ghN{;RR) z2Kkq4bf*mksw?bo2CM~3Oz9>+th3Iq{Jf@zR5TjAN1i*1Ub0hLCgTH)jJ*I0$f2$z zOmXn)APM_N*VvP~pg`HloJb#)3Lf%JX}J6w0yC1|A>6? zwCZ3y zI>>Bx3~ye^EuMnT{r$#A8k4)kYi85~TRS+xt14P2CmC%K{@DD{H7b!Y z>lH_zU0!VkU#{g5`-~;4r8O>*KJ6<%$!U;0{fsc!&qlA126X%jj2y>TB?&H_Nq(0H zCAUD;&{TL;J>alzmmH91pg_qum!Ob$yi+%_aGOw1?27QFQ(Tp3&hl!cA`P*+tEx#sO@Y(j~D`*B)*(#TjqT?zd5tcPa4WWJoP|E=;_ zq4iC$5a4c=DExE$o$IGkvCIOnJPz~tFq&|cER1*k+uyihHF_|kXjxt%gHk~J1udzM ziLZi9%%noj@`x4YtjfwV`7?n{(v2tZ#z6`$#O>XuMGBNzp>879>g!zu=6tM%H=sqLB^iT{td1FA|EK-KK#bkAlZFpU zu&@fQGKj+WxGHXP(>Ys9dQZL4sGqFB!|DjqPPH=z16a*AMyg&J=dph1pkNx~f*{~D zm&jDwlNoNu`_J?oF)g7H9Yh6fP7{&;aly21mkxz=7_!C9_fy6zVcX?uR)IA{PB%sB z&!A+5iOMMHzc}OLq_Com!&G+HZ<(lanB`_aFXKBIFAW!{qg3Ug1CI~RzFQ*lq(3lp zhHeP(0_fd-a%O^h41%cVHBQ{xk7?R){ldcx8=}(~yFLeLp&BWO{q8@0Qa(ik+hnh6 zuP40W?={ioATYvlRnGT5cDiQSZx6Kx>ZeM0ZHd%o;*IZHRkAOC@0NG*-o;!VHa75b zI)uF}MbriPOZZoSsuN2rg0{p6kBgM?r-fmmDrr8lP0q+Wmhz#V(AeX>W@q16xel$tZCSueX?fBBhd0=UR zHL%XNt5H6oQ~4W-Z}%ejUm>obZYVearF_Q(4YI793+r$%NaEw)VZNwFpu{uwCZW-z zgPL7H%q$YS{b3Yr(v4$ zTfeC=M=%l=ajy)uS@6Wr|Ng$jH`!PPtR;Hy23eOe6z?GRpP6+$vy&0zgNNEJO9vVzf4m|h$4}`Q3pa6J#{dHFF-jd>!AZaQpUDf zLvY`z?8s@#+c*Syd=*LdliA?Fsf7@j)Ax-Q#n@znGt3C*90fy*s(KJ^d;iVJ9uylv zAtiSxbh{$_&|H6`aNmn%4rh)bfNb+<}BBn=xj7yCVefuB=JisuXbjVoP!Y7HwQS7T%2JEd64Z z^OD;mgk~Cei1ey7ft6*4-qQ?yB$C|RFfPUcnbAmmW0@?&-zrYi69Hk?XusnEh*99| z9l|yuMJb>tyJ+z;!|o@tF~sEtE{zus#ARF34uY-7J)Y%iPSgFMe1UCbcI|T>5(h(i z3V-kZ#yOY_od9T4brtN*i&|_Xh+4!3fm(EE!aFsIi8IV;k7*tMcnkc{7zj9ZT~QX} zCrR36Lz-1&ZE=I{z>`zH;9u`#<}?^fb2IR?KpOKKS@JzXc0g38r`TDFTLecOn>=&Z z_eV*BvNutS(P?SWXh5g2r(t4=)5>*tw02Y5w0z|X$?dWky?PS_Di~A-2`6d4slZ+$ zyCm>;`{aEylv=x?VWb907G$!(VmnF{#J?k*XR~96OC9;`0SNM4Bwo89(Kek?`@Y^x zgQCYz8-9l2w{-b+`~vLE;RiE|#9FQJd#SBfT^5UWL%j`!M8GII?Z1EBGaoW?>!a>3 z_Lw@|+9E}{dwM6yRkF*JOMg7CK{ql^%7keXX;?58z?dgOFl_Cn<Vcsix{hf%ya1#IBZvuvU`Tot9CK@lD0p zgSAwz^)ZTVj654&sb97=;CsEU270Qj%%BU`TDr5o&zQEV=4T&?wyb)((kjyfHBXT`Y>c z{O|e%AwaD68R7kV5{Lw=kCzJBsyL*7yP&Zzs&bpGF4S0o$-qv{>li|w!ILxLfrf|{ zVtuvui3MWfw~5e2{ijB#3Hmrw$TJ$)nV!QT*!wpw5Ft?5f}X=8l$uuy_-~%0p?~xr zlW@=bFV5w7eAjg+3GDK*wV|dpY{`Eoz8)4ePHIc3>w9*s!s4=< zsm9SHD4lkR0^lH08=+&_RUQ#p21v0fZa%dVKBptHS~0p-jXU4p&IjiwXTPy}Zd>%j zzMBpI?8qM@wHDE+tF98% zXc^Tx42H1$Svl54J2#gSR#gp-*C!v(WZ$(iD@u3nef{v=HIQI& z*mnKpueGb|efQQ?W^~DclK;#NKM#Po_)V$?U(sE0NZqN~(2>1=+(oMbX=$>C ztaOK+w&}ozu)ZBX752R_u{(*oGs4-Ykd2S(^$L;5ZJBA_6}p-n4laVM=T5JDN!i9@ zk`Bsz)gCI8*qu*1hH`i@Z$e9sB-$ce7zAeky5E63wjNa<0tZ3td zbzmh5~@fL&PsuKPjZpJ)l|Y zCsg9d6XrF2v)uF=v`I1N!)6`!Phc?dOptudOD^-DqVZn!pZ^$u5Jf9spA|^~}O!l1BTUKXRCd$T_Qp#D^lqes?E&CmEMqS*pA)JMJ4D zp!?KgWMjTyWIEGo5H7PXK6ECIe7=PODW@JMra<8rxD>-bJ%0FA0yOg~v|4{ITI57e7 zs*JJ9CXn^0u0e`9wLZguv@MTa z1x)-(nIc~lojvttmZcu83FAD`sVuZ)sfZV?XqW>doci8Zwxl5j}G7aF%5DP zN`Ai3q8gj3wy!KRCsj72&G+O`e}x|PasV%04}TxVH@buI8FEHV9*hjhfp+R5*7RhU zXeB=o{P1k83+j#aR7W@A-R^}ywMo^6W%(0>7E>~K|C8I|%E%;mCc{awW`Q-ZZ$M69 zT<_aTHM#Asp;h+K!YX(y(TRLruk+Y)^FMN@n@_U0x+tTy1O4Ee}CjFgU*legy-4SOq-g~pBs1+@mXtciud4kwTUsAtL_*-3s@_WK=dAF9m;a3eI4mYRyeHAPx z{W&$qaKN_m%Lo!>jYHkf=M!oMUCa5Z{22~b8%Xr>@~U4_s`73)LU|{G$|eGnN7};5 zl`}2w|BQ;pfEO)#r1-vJP|$+Ke!_2z5{d5`vZ2{=I+3MD;*mWHD!N%Z?UQncT6Ur&97NHpswNGMfnCJYB06<)mG%&+Bk^EDgYq(12iSNT z5@-gJ%JniWk{PZ?_Qm?hwA2Xhcz4X8Y6W@}t#_|&0J{lVJpo@Sy`{7_hln%PZt`e^P z55xG3KSWzGxC{kd{7Mj!>+()tX&MBhn6?YDz|WegOo%5b`<=eVe?im)r)*iliv1Qv zE{bme0deqszh7rz-8N(OY)^a+=Q?X#P#sGm|Haq(V(xg4h+c7{6Z6SeDEPnC2;f_DwV<7llUaVG z-K_jV^yzLtzDB`ez1qn1@Q=_TbQ~S9+@$FNpD(&$KT-9a5o#=08_ju0=GDCkXDzEA zDyolu6S-5!~gYp z&uC4{&2H8P@pB&+Z`Kt87nn{S#Ug?Pg+(L4mgf`K==%7c*&KHLt;Th7!K@?=97zBx zTvw?A%klG;-NvYMyS&DW2(Eq$`6Hkz)Ys6;V22M+s2dt zSvjmCnME-Ky=xVPwgv_1yaGI2K|OuSF+i{w??rxMq%!xV$@h?05Rlc?4{GEfao%h8 zrZG4J@Y$_-vvi``#24Vuvl_X{1UB~a$iQcy@on#9%1gIbsWu!R6rQ=PNV>+v@jAJ zD57C9)FJ&vGI`)0Eu~fcer3%_Ll)mi*O~_KS9@UtUN#%Max7C7pQHNpi46DO z)96}yVejn$wtPwDB#5rG*t!+VjM|VTyL90-Cn;!l=VNF7q- zRGV5w0yk}Db-eTMfPgtQr=&0MpPon}zK(i*##M)$7+mgE^AqHq`!~$5F8J!+iqs4+ z_;Q@n^*S9*$^%yi-&trHB+{SIeg7_wQ(pJ=;V9(m-WEi5^+15<@u}m08sDLPN88Z; zPpbG<{x3cQ2>000=)O#NzG-@qA-U#xl?h?UI%`Ia+YwEVQ$gHT718s#i&SA-iO*s8 z_t#At2MNHJB8h@$4DH2L3Co(i)Nk+eLxRzK*CHOk!W?3T`^E&Kfe39-XW$w_K7!c0 z<7YtD%802fK~F=HQp;_1pViYFaxX4UCshg>q2eb7gt0|lzAWwa$OgbxGA2P*@OUg1 z-BNQGEF}}}V6S)`H2v6Jp&|B%S3JoW7X4PFcFX=Vj*>h_f8+-DdQEx-5q~a|KB^gq z%jx~@;eccSo~~e(Rt>fG&Pz+i|Ad^i&S=AoS+7UNr=|AYAp;_qaDM@|_<<{Wu}i8{ z@TnC7@U?9C7U&$YnU5rI@vpr^5#%p4p%tn8gy9aH--6jug7J@34euM4YR0ly9!)6d z`T?_+E0E`c*{+mB-kC`r=KiXrmsj#^{>n!4$9cxQZBwyFlAGB|YLqO(pO8b^TOkC(e9*8%$bXm+ELcP@YwBLf)Bxw5TD%5R>F}UR+fm zgSRyxID~M@kD%5-$35RUd86DKBs5|FU*=Q1lIhfCyp}l|1`5&CdydR@X6DDoCClNT zdR2y`<{#0zU7Ye=FNPRoF{1mo3`3)CULG##pB4lq`?vMWr`75O<)rF((Zd`Z98erX z{0$v=Ii0xOo-WL75>=+fT+s=}oZ^T;@hGb`lKkcWw$8U*XBU2d=dbDpWO|h)5pJ$@HVD9<>cT5FP5}T|lN^82%)h6@72eP1PBD5bMH4bGe4%Q ztNN|ot7>=kpYFZaTF?8R)_FQ82@do>wN}@6|4*$I^!3oRR|oK*vw_wK6(6)7rVbM< zJh0{t7_wARjj`obgDi$*=SokJi!S?fxMXICA()bxL9PCO(_+vQYnWT$y<0$S9hv|H z{DqL_^F~+FHPXXJ1(cXZ;Y9?7ZF7Lca9(l zFXt}r-mD%*h0*jqoUuDf8L-JiIT11IERmo?4)nHS8c3z^nU?7D3`PxKg z^shg$@ql&uE?X7%=C`3k!>_ds5LFZIp0KgS$Dk}xDVLyl)MtHBBzJ~b{Qq5ng09_2 zivJ9mZDYn;H5?*UKCJfnf^ZG|x7S&Yco^7~a+tasBQiaRWHaaCSiiV5Zc4~z7I^df zQjj?s4%@oiU{wM~3i4dUYq!{I+@ycIYNH+uWA1Zl}C1}+$W z`)2Iv6F4vIH*IfE0FeT2FPs@H)$S)U-jGSPvMm*)&Nb9dA4 zPz?V8`QrDhBz~AL<~_4gM$L7miF{x@LD9T&ie80A2@WPxRjB=}ff}xy@+e&ODEJVM zc+&EFKqCQl;2NuFCH8#)g>*wM&$2yrEg{}Egs2csvw%ko);_bl78mNPktY1UcEY3D zD-ZbHLHA2d32&v$8e1pRQTVcda}V(){Moc_l=sxF=Ntw&GUkFOLfO$~eDx^4#OyMh zaoTj+ydBlMMNs@9CU* z`ifaB(;})GB1hEE0hxB~zl$id!cO{(>BjHT-t<7;&xf|^t8lJ8mt`_ocZ@TJGt8hK zq0T!vVq=e0*^^x}la!FbYJF2Ke_B}eYUQ@Rm0>YX?|VJ}*+3sw>@t|xYnit=^}Pn* zX~4tiX=WTnZj~j}yL6f54^4aX8^;<`q;_npFdmj~Sh29H2bxsVfj8gzUszx()QTg zq;PZJ8F%?SJ6fE*BP091)pstdH>zLNEB3xa*;CEyJy4v}^JUVd8LlYAryhfF;T_H`4d}DM^!SA;?rgcH5 zELU;3>NKOFvI&80XmdYfZu+?a4|p8I2083Gy#BMav_8)C^Z9UC3x~I=%9&O5OP5(! z1fq`(S8T3{WDFh_N0>i{FCE-|EYgr?mv4lP;osAJLI5Ll2OrQ51%hM}3R{E>i(f_6 zqTfL6+hgG*I!y>RaiWz%EO#V5By)UFiwfbxVq3er{-B~|&>8{uirsdzrsQd_|VzXtk5%JlIAKpnvSq&<|3P7Z_?NGC(S~iu8-63n}x#{g?4dd%@s!y*#VG;ps#|?Q;CT$ z@%K9Xh5J+^43uxSnGatZfmXUOg%W2wWcjLhapb)@(rNl|W=mD?(%$%7Hc&@n#082s z}aLn*p3@wXDtn+~R z-1C6Ti_E+B+Azy}Dk+V8ep>ay!k(>cq9i+4)Cno+C^eA2fjH;M)&S9hyjL>|YIqr9 z&YMXlR4c$(n{IlCDtv0F(8mhl8Vy>062Q*w>Opa^knhapmQh;2AB5{*!0k-D)yA1m z>XlQ!fo~BsIqnP`p3azXzbji&81SNhai~{-M~lXHL{GvOi(n7QyB4(|g3YEiY=F%T z>vTU&wW%G}ifTIlX#9B84}~s0d~jSOh_@rW!$~~$C`FOSnqLpYPd+6`rE$&|L)GP8 zM?}X!95P8A8CKP)Vs)Y}HxAjbKjb-U3Z~SM^sle7YBUGv%RG4KQ>ri0wS-LVkZ)L` zRyRgEqHGBUEi7#va?)8Qu5_aGqm8^OU<~*eDIo&1w9F9S$3lxXlNQBsjdmA^wJ|*- zChLJhD1LTRfJVK)Haz$$5)I3lc~7ZFeXfR+xZmFHn^3#PwoXCtI2O-shg^HS)H>;^ zzXU}7S_Gi1CwgO;Do*yHVk0-=6IiWDBY)%@9&fIqz8db9x~(uH(g#xaS|YmRR7QK{ zj!@k%YI`*K-#;NTC+E0}*w7tZ$?d}Cenn-ch|{*FwBwTJ!mSLZu*fS`(b=vyU=|1- z6pq|b53Vs0H*}%#SQOk`qB#w?!8ll35lHwA?#I+P`J;)Z{6zj1WhTS+-*Q_ZC%Xh&`U4Y8oZ?V0nUK6C~Asrt^pC!tG2Q-z8Ejr8mxDU z#q}M_vJKKSb}ox|r`Acc!K@)# z2+EB-OGP^DTm_Nmn`h58@*s`W`q}!oMUjx}$UtVA2u#aKI{nG3+`+YP4Eh+X06luc z+>_2&ea7kc!bn|Cw6z0C;vp8IeH{ks%P?sQ(Vz)B11`Rr{Wb>&gDT$JWYU2L)pNbB=ly-zqZWn5X7SGF! z=UW0*&vrcR|1LPqwZuc^OOwA{+MS1(oEx)?-QxRnUq{F!5cc^LQI5eTD--n#=<^(k|eQF7PHx84Q}RxY+%4taf$l( z=?Y?lWlKL5sU%|p!a(k*7q`w}Uh@ih_Ss1mh0urt)_97a^|h+mT_w?E#gb8~clOZ! zg(N?YS(2*v2YcXMOpijt*HFwv_tH+3K&=P~*R%2NKfT5+(H(#HdziL#9rkkJZJe=a z6a?7f=2A%n7*Hx}@Gxc)Pjvo5xjCgf)Ls%KHlJ?xnC3~oFFDM!^wTMo=&lTo;z(7Q zxpvtR{y|}?tP!Hh5W96kdf?kf-do%wCK*cmG==51JtYf}mIlkyXucIq4SB0CRGMtC z`!=|**;9n+ZH3`sH=2a?b@$ac0ta-Bi%*kR1GhEtI9_4Yf6829H9Z`FUpAuu*;m^( zJ>X0XX}z@O%ugw{+km1b48NczbqJPvt+j?_C7svUks|DEPmRO(7Uc)KD1?IKY69$U zHA}4sTc*1}%IvTZ%SV|5AsuPPTRcXk*dq}gnRuOrNOys8wou26uRit7WLjN;=B}$4 zlZR-8TM0i>Fnz^7R0;H4^a-ujqc+c~Y+46ir+?PuEm@aj|{f;mjFMG!PmGTY`y(?!$D4y6s z)YN&sNRgq)SW#kmWoGo5g!@3))H7OUb-j>^tkj8rRV1 zS8afewcVu@-z1zS$aW6<{R>X#ZcLxS##vE^ zqMHgcoq}u?ZN34IyPiG6A!#-32F3nOZK4(M)E{QBRaw*OK7QVCmkFP(35gag-4H3Z zj?n*^vAjx$;_^Tar&pShtZAlcayidn1g??{6ZvC8f{}o<)DY(J7JsVM7tKDdBT~Q4 zfP=X*SW}4|0;Bl##26##3vSKA)8E zo~Yw1K>lmq5?$AT>(;_~>lOIM?(Y-}#4S@YxXcdcQo8F8^~4VUiSvQT$c%L3has7U zB*CFkecKUl+Z|?PGBm^V_#bmuzYPU})x%|DXxp-GKgDkc)%nZ$z6*=R8t-vW8eFs6 z4u``}A~&0Io+sq)>}*%T10DWpg#Cb>O|2@LmZ?6k14d(QGe)f{jpHXHp~udk8;-S< z6uMFxbngJ(m<(^}H`kUP#46)Et9zlMkH6S+5-8F<4Y<%+aJ3BIo~uh67X4%Zkltbx zl_$qCWyqnW;p_kCJh7pz8E5Lbb-YK9*dx#P`wX&YBq6YPDlAE55`B9i3P zdAhAtdH*EClgo-H85oTwUqSput9ebCXV)|apR}JOlYsAMrLB%PPwI(t7n7HaY+hLB z=f6~5Y7eHNXkom}qa{3k0g-b#lRtTyN0h8bq?;bbP}@>&q8w9-B0QSSu{TCCz zw@atG>t8pH(`sKlWuzmB^_#7F)|?8gdRl{ht5z+;b1IkFWmZoKy27-@?4LoB>@qGu z%%{Iy!MfRv955)fotNuHZOzTZ8}s2YmrcJa*R|LQ%=bkaI@O`FGZn0| zd#N8my9QXy?1?3K$C@doRB3lcgc<{e+Ce`t#pzM(XNS_PSDAvmt!L9g)7s zY5Q}$>dUZEYLpCDL1^BcVQZC*y(C&VVJa9JIM^L69ARXIRRlaSEs3Y&#NC;H=)yqT z)T)9s;75#J78b45Tx>0Ui^<-BTZQhqt@**SR$K+dJbBX-U@c~aOjgFOdsPSz~H$A2Y z`U?xsW9cmVe5TC}eQ0xGS|U22+5LrvjpLaucHq7Umo)_)K&rKlt79bGqyL-{JTDP7 zFwrI|C}%JII9}hnRP&i!n_0euP`q#Vrr7uXuoA~jsSaQZAwr|HANOb~Y{^2ljkE3# zjtP~`Y9Fy*%0U$6u3{-5wLpZh26q|-rp(zvw$_l>MYvR84e-rd7JCiQQ*?M3f`ZB$ z#(UrYG^}sd_3;YOja_>qKELcNmY3zg#T9DL0<+93-Vw%?W!X_9-F&n5bl_#g>n|%Q zfLYoO`3d~IG78c}(i2K{cU_>h*dm^RU3RK63xQMOf6DWzK(+1(FW>xlj1%IVF=kNd zRVG)Leu{LpAYQjrWY#3Eo!`i&)2@~0rdga@3yWAg?4UC!FrPZ5B4?8nh3D9vH$;AI zp`s=-9NyhFmxwB%Wk0&RN4A+%$aAPu&2u;%un3R@8Qdw@`b;NRKH6foE6@Oc-SrJZ z<$?M|$WjpKbv57w^l*__=q!-<4xQ(NmVtbmwit-@xTPLao8MhvF0|ZONNaInizCqs z=iSW=65*|$nr#aXbtDTw3TpZE=%E^X%LQ8W^S^m$yuIhm{f(Y~ZW z8SoZ1UBg`T!g5${#F(Oc^>rM*Rc=(`PcBQ9FY?to1|hI&=8G^G4SdBhcQMygkI{QH zApCv8XN?`Bw??(3J>{Z2NHrXhf6|@;+4A^+U&oY(Z92xlDjFvKary*ft3wiQrPyp0A-d7QXNZ*iR9Y_lcfhodB~H((}( z)~J>=n-f!&BZ)+gug053#-Yu<2lc_)k*~2KH1NCF6pjAD!U!>=F;~PoUkKLqbXnHq z^)i&U2s*vU%nJ39*2*A+Rh*K2{`eNxnPS%O*sEYZ+eet$2^`fl>7`VsM z`)1QiPxh05N2tjybtSw+#}01kGE5Qk%ElEXh$s&g@9xLBMVnE?Rp{nX-+3c#$|8@k zY>V-|7k$vA{FzE+dDq<#G+@Ie47p=Ibko)_W`&A*%4*?pWAGfIw+jZbP;kL0L_FfJ z9i}P)np>z&nOEO=p}x)I7;7ycsBYGyd?Go=oHKQ3g~uFNxmWS&p11fH{U(7M1I%2*t?N%X(IfheK4!^w>CM8f%?QTlvHMpLj{Wg2^vUhpK_Lz z7%^|<@o0-(dO7h-x?cB`h#StQ7VClf*2IFT>W_vkrU3|%6+$HQwM}e*qE5#tlIXAU z(S`39&c#THCtGV>8ix(*R##VeYaF!gxy|KJgp%g8h>r(!-)UUH@;ORWcN*SO8q42~ z7+s` z=N+OPl0VXG++Mg;H5~i^tMMF)2GwMu&c}N57Ls}A6(ab&b9I_ea`Fwe@t4)`=Jx$u zRS5bEJQu06NHMQ`4j3?}ANyTNxA&^iMry5GU2l;(9`?kxUoW{WTGGvSVBD0m{43c^ zr`$doyF0E^#ymPO4cmM)!5I*o-xSMz!?r=lxMyeo$4QfD)W;YW=m!29nr0C_x$*l445EeMxOjrz|9esjIz;O;UG z%PK+4`oBQKA#P+h6wyBuNb@*Kbs(CEb4VDrlyyEQ5H8!hze>~KH|n=UoN;no@YDTK zKGs^BCM{kz-hQSM@9Qf#kpYnvG1>Rse_W0J1L?nv%{m)-sc#hu#Glj^F8zbyQ{1N_ zq);^=wRe0P?95XX*c25^>&t{|MnRv#bHOi=7e7Kd4|X)TYd=Bo4( z%ELq5RlC?_m`v=DesQ;GDW1VduH+dusi3;Sq%`(ggu$`CuY#2e9=C&0@Q6$hW`qsB z5IV91MVCMhW#qYHyGRh6BxlM4xv|aJW_kJaYEa2mC9{b!c&~&pe=(R?AJJD80w0IP zl<}Oou_eG-4htgR1KfWoes0gf8Gmzp|3y`|9j1r`TZh@ayj;R4K0r}LINxAMIr$!P zl~S1+h}%g?rHgBXG{Kh>vJWNh>ysLgCQVnHnj8xsv$@%hVj-|NzhV9Y^PFaUVnS*F zZJ)sXfzsyfUVw4i*eV+R;Z%zu4$uTgTQPE8*!yU4D^o#qMmnv0FG<*tGC|rTdYLF+ zFc1npZGv`n@x&8s^+vlo4GkNuIx*bSeWsQ$^pP;x`D}J>T`;aFWcTN1uA%ef2f&DR{=w#^ zc%7HD*4WBkGBK%&zFuO!W?3aEAGETAOt!W#5^$7kSMag^kzuQ>jKkVX z+tMza3n2Q16{7C3uy!%6W5+%9E$uyqM^8 zrdUZ62p%E|9umdmJCza-g0W8YFDjpEceS5-v2iCSiA*rs>q6z^_w9*kE4-{NWX6;S zh#`*Tc+b{$i231BG7GKN#a3?q+mpdzg;x&k5Qe9BjT)6z`exE(fK*GOjB##7r=QA> z6D2tkv@LBazx5@YZqR}8imVZn!*0Et=Y+9wiv%tP0qcs=O=J-9NbEDwN3f)p3;d0* ze_VH5ag}jLB$s1=Ra{*>AB3kWVDzdOQ&-ky3Nd13+Lh;>yTsUP4!1f6Yk*M>rovtU zo^N*iuWN=p33Iv-AcF$WN+2a(Z1}!po{!!d03Ma@k4_gA_s=4B)7Vv#onQ2%$989Z zo?=`%DRRDL7xzGrkA0p_Js<3s4w>W$B6fdIO-=R1x#F<0k62#}Uwq4stp=TBl*OA< zX(@w3Z8??KL#6(pP+;^7AU}v)mfSy{y#JjdaKqX46Wi?>z@L&-eJ2R}Ix935xLEHz za=-6-Wl6x0h>_hCq&NcRuNDfdtC^J8|B;-@Otq7+^HmfTE2@_~)+zO6r|#-qBVg`V z^3=R*M>tb(MELb3OIWh)eKv{l-{tMo3DaY~2Pm2yEwVU?w$EC5=W^z!nkHdR!wjFe zk8cXuKX7&cN@t%Ir^ps|QgcuTel_)UVjL9E7?918TII|XgfR#}fga7DAI;=*6ncoC zlVWWQrv7h&*@l~1iN9UpQ6cOCsJ@Av^WUD4zTu}TUx*b)Z;(ePwc&uJyt-sBKQ6^< zx@rVtU(9TEYJBSE5A?n{zc}JfiqIi!Xk^&xBTE6N{f2z^R6yrDjF*)%k6u{Tl4Z&l zRmVDbImM^7Y1Xy|jMH$~6irTGiV65FnMGeeun~Ga7<*C&Dew3nvG)iC+zkBH=Ek&Q z|3#=(&>&S5Op92}p|N2K9v}Y%3js4uD8OaHfte;q!HE;(q@Pbkjw{$;V4$!^7y_98 zdw79ICnVvtIl*inh{1G|IAs58*oOc^{NItV|6W%F!F2+pV8=-&xVUm~#w7G#X8>H& zO$L5|jzkTDO?oK6Qd3ND218(uUS@D6R5A`jB_4QfN*wO&Kk~ygKOATTY&R_mr#A+! znC6398V6Sm(SgxsnBZI|!Ez(?U}LDXnF5QBGJ=u6Gr^tyBPqa7GdyrE)Bj5K?>ulf c|44Fh5mb85{44Fp(ZL9_I7kmO|2*!00d;nvNB{r; delta 39879 zcmV)7K*zt|tOJ^&0~1h70|XQR000O8xVgeka)Nz2Vv!Rlf6-2ZFcke2(sw8Yaa+S! zqGnGnF^bXn)|CrughJYGjK8tKMJ<8t~4Jac(JJv{Q%9|zH8PiaVHQzzSv}YC4 zl6kEvX6#WFf4E`%n-zcq-@nHd7?v@^aBt`~lOsA=W_+@2_Np8VGLE5y3Z&7Pcm)4y zD`C0@Zr(VP7it5l(l^BeGbxW+OsckRy*3W;t1c4uN)mXe{Qm2RY@yE_C#N|5f5l#~YPE|D%N>4v-K-hblq%)mpPb7t+e z-uL(JIZ^6r3Rvi5=nx15>$Re+2KYJ%d!izP&y~7WU%?kNCq+G12m~Gr_Js3L>u`cV zXdtg;rL;V=jP~{BUr4biR{S>4t&Wn*1pMep7}zd z{SN;cPGR8mRlMhqx7GQ%Ou@N-l<^30@!*n_!iblqrato%fR8vLQo+r=|bR>IaY5J&TEl8uSzX|M4e(YKXlt**pH* z>Wd$kXq(!GTbP?Qji=u2C1qvs5j}s#-gB*mlRHN4?Bi9r+(6b&;Yir#izL4jQa}y` z{H?4Q)v|@d8tmr3H?neaCTyfXVyaF%wXU=`x(ZT6%I4A5zK+Cv+9qe|e4?mdO$gOu zXKEtA)PV?wucek_ue)a#-&Ln;ZYT-Y?{zgL@t=t`^LBUa%2k zTpcZ=J`cI`Q|5C|Z=XkxMN{tZ?;wsCw9f^EkU;5wLV3GUJx?PeMa5Z3 zrqA6bJU=(WwLdv)Y4;`n2mcqChdWL)$dGoDf;9EIAK%RViE>*4}`FvZ}c2RzMI_KQ}G&-nNNvD+f-QG(!z3+%bL_~t_hosRlF-d7@5g{lz zrsq4Op8vW~@@*4vh1wDE6C(ReeIGC9Z%zlOX_FLdoYtkCWZX!^sBoyfwvuRTS0^Xo zf+Pb|QV6%Uwjg`Nj!-Cc<_M220u?cE$XwdnTX<(I8za|$0QK8H?{*o}fYFTqn%(yC z)v^SGrlvGRAO7_~AU_PpvIy3HO(@f}oJ$1vtkZCdVWbQoVMkyTub|6mptm_PBI3UY z#eea)Ln8EIl3-%e6E0p2L6%3zrRb06t16R;g2KY}e)12Y#?@91#ab*8z5deD@M<~Y zaa&vF54!<>PmH#ZMXY zvK3Xv`@fKs3iQY>o5pXauYrx1b<70 zTX=IIVM=J(h+nDYY{vi7l+=F=ce$}cnTpz{V%6{Qz97p|_ZynJL>>CnH7YQ+TD z5oQyA14)YXi9_ai4Gp?%(0yb^dU{D3TnOqZaw(?>e8P0l-;=AZX^RLpXc)!-4h=LD zi|S3Fvw$7cX9H53<>ph;V`(i91NM}qnvqaNyWLmeX(wprN(GE{x$B0>#phj)sb$y_kZ!!5>(oM zHUg+E@dp~;ed{^Z`*qL<`!b*OjaD?Z0B zpO5$I)hiiqDxa&vuDWsYnEmPE&6!fooAn54E{k6&v<+wwgC<8gZEYfcho#Usa)G?E zGIZ9rRTLAoi12Wj$OteAn9Nsr$<57eE&`+rJEBLWlsrzJo{dzx=Oeom-kHT57T zlw+hUBh}Ku_3y+?%xCu$6ke}&pH{DZH=w~)UjJ@TwdAN{U_kzBc(~Sbl*}@7U^8fX zUlt5rokNLlEku$fH7_p@UN}2{zLpsm)oE)mNeW}DvguFs4JnYkfq2S=?-m$9`9j9V z)aV%)z})|IY}y2Z*Q+Y9((8>0bk=#Z-vs(?Yu5OBx$f>FevSxd}TTE^t2_eF*6JD&_-!WSl0=L!w#Y z@j_GOtLO_~@y;emzI;Y$u`*JOr&VO9W(wVsM@!G>lZGFboooP@+gl8q~W#bSBe9s?GaFfCaE~mvhD(oAT@H z30;0rfF^1u_E}z9l7te%Jd7hP2Id(}EAHf1$14~SJ#gSaKnWLr?D-dt+?i5;4gR44 zQgauxJ89^Jj#Nkd?WkKXa;s6O5Pa~s7T*kp;yP{ zydi6800hSUa3RR*s~585HGvWn;l_5_yZ+4tFl$Mui11mWUika_`>=_^>0C{~5x;>5 zXLGQuE@pfDiE3$o5soGMkL56S0(7p^0HsGLMQmDX>bghgy^*dDpo@+b_aqsqqkjMXoy)Wr^|$vyrC;OF;2{QZM> z%PQ$E_tX<+UN}r_Y=~*Ya-b-I$0ttRp=j+(&+`F~Bs(MNA>G~Gk@3{gKmU`5SDp4; z4 z=nF=F1~T}{k$~W8a2;JbydKi9f-%HJENf^)qoJW8 z%w7RHv({OHtII}gzxW-gCmd;D`k!Z8ZhFJF&>|bdW+%Akhg}IXQyj>HzXWJcyy+$s zIjFU*ZFiY=Ii2k|D!ukzSm8dr=wIoZeK=Bo_j?n^^X@s5F!f(@mr(LgyrGJ7=v~{+ z&`XDFtdsMp!JN{-6~!)-ya}zzF#0%L^i+r%Mp)4=j##G88xMG_OLj(UXhJpQ%mq7< zw9tR*uHDE%i7^#XJg9w!im9Sm5~gxHf-eLq0+Dl7h%S_AB(EXI-C-CoR|E@`P*lW! zz{k%|$IXprHU3r3*_oS|U4Q18lL20ZfuKL05(8jh=kwzUOdvrO{`j1m*3Ks>IIDJ~%ek-|V~@ zZ13{J(9%A4{y++lsnL*UTE=&Wnz03N0)%~o&9{sO29snm%H4u%)KSqo`i4=4YB7a{Qg zm&xzYeYQOekO}4EgTr#86hpGf#opxL_&CbM5nF?`K;~j5huiA z7;#Z+TzA#lE~b=C9R7H$`Ze!<_4&E&V!}rzb9L<}PTg$i$ayMyKEmq>%ye>MA`s9! zvT=198r&WR5EYVlzIcEE#9%moZNE?hH!gl}uTGv(w0?ar<6a3IjW&5`Vs0)RN8$l@ zT8#1x)mdk{H4pi^pQo2;j z^z!fQ2!C`QsWW7SS6!``H}B&M;DHqtY$NHsD0P;j-QO*SgX5KkQe3cajAW1>%aKS(da1y3pnEV^dGPV~r%(8b^q-8EnWLqlP&|AB zf}~HMg1OE5xi;0sA@osyf;Rzu@DQ1kFC=z|2u@pK9>)~P(B5??%+h-Vh)T9F5ug+h zMhF;GU_1}}9&pxI;J#ASodpG;NvK1U8N`?5qj6iC^v3o;GNTRz4V?FO5$fndZ2ODoMQjw6`@IDm)xf)G$9rlz`^B`{x!fbeoL;~22e;U`kB z@37!3$EVlnFZOg%EMd|Uj`g^7l9pb)OJ>#^iKpA@&TcvKB}lK%a{Z`jwZF~ly!)y( zKWO!2U@TiC|4to$1QbD#k_IO%a>gSr59SrNM@gBj#UJfdH4&xjtYP+FC zEf|VZR5q>6Cu^K`5>ZajyLE2Ziey0oJD+Unv9&U3wp^X|f?woo&|BAfCYIsm4juL* z@J^jB35*VEMVp+f-#n^dZPA@Y|HYt65ZDX4k-UAnKS@e|OoTM(W7REEq?(=G`hupH zr{5e%P*76xSSooVevA0}_3P%-e)7}#&ZqxauDWaeAH7A-246}gb0l@6_HGm;(vhsS z#t;)057Df-tX1o~Ik6+1eLC9sJ~%kbJ8-1~yNBo?Q1FTn6Vc z0brA-cuB3;=5edk0xEcSd2rg)`N;m)m^5f4B~2vxt3&{mLOewmP_1EK6eA;}8vROA zabLk^Lyk>b!Y}A2w?^FXw>|b)khPs)dMCl3ztDPry4E4CF48#@t7+~5PS+_jOvXE@ zU8c3U6&0B2>FFbEicB8Y-@1?7H^D%(JCXr^1Z|9YcLO2>_SE$BvDK;yPG4!Mr^j; z9Tw7mQ8ZjyQX&a-^pD@Q5g^Q$5)#z6x3>#5CJ0piHztGTJYPmF)d|C3(7})lfTere zrEB-R%bbUnlM_dj3a8cMr2po0v(<{1Q-JV>+UTXD4xSX0P?ipwST2IQwBpAU?5%HL z@M5=PrPU)OkLh>7^W)87-FO}yE_OsnY;3H5@n$T*W2r(7S_z5HG)Z&}3?CsJDlu=q zyb%t7M&OV1nlUOw+CVRO-t8Ah^hPA)F#+-t{r3}Lq!GA0g`g88Q{aPTi{&MmRi)vNPy0o9LAm#6 zjAe7{mmZAZFF}4qo z(BLp?A-uR=@mR%zSX*18F8y)6d~m@;mXU1)X$<%_Ing_w@>w$HW#u#utR+i3JJy%h zYwtRALxeZ}lLX(vHa$u;i@V=*UF_>`Z6Cur1C2BbaUC{RsJ(72d_*=jrNa|;Wo4f=85bz(2=fHnIzz5l*JziZpY`T6mB zb8j-=+RiRy)G|c+Ti~R1Z^7$iF0ZpKsl_{xd4Z@0@9bDwo)uPAVLLXF>y#4#)3B_2 zpsMg>+S>5{mD0Ya;n&kFinu>q8I zAGI=U(yz?5@m4HuHcPMNN%7#H5Nii*ru#S?Dd~;-7lW59PEkpz#j-mF?J)#(i^q_I zWb-wd(?^^(In+~>^uCtZuT{rX2`FIdn3Jkw+W9RWNe7yOjEu~J26}30DlRHwNXJ;sHqHhbSc#@p ze{u@!i2qar__#90J7CM%-H_-_u#bGq=T=oYD)yT2q!Q2p#Q&sD+aF&=4v7`qL zfgDstv~Qz-FXnX7DhF%u7*v<&y8$7KjccGx1hxqXMX*R-yLTQdA4{sLj_1XbaOdGC z_uZ@=9pz0-XbIHF2H{B~&ockB)2V(0Z3Ba@$$XiAG%wUJo$@2zKG!VU! zc;qW9FRy*y1+S7Nh$kc@#2saOID~*B8mL{agY@bop0I8)_V;f?l}vtia}v9&!$n#~ zVl9^0L{C;2@POepXtdw28=Wdt1_udFylvXhrvU|7sJ9^?L`MRVVar7b6Bz*=9ewT6 z`*gv7EMdJjia_cf@oy-sub{905(7px1)>cuzCVK6pLEjG8la&A=9Y#P*q^gJ*5!EG#T?lH!#LUDPcwk$-{OrDxC+$^{kKME02i6P)|L zaxh=5U}IyGv&{&u2?P#Ux8&H|agv}+Pzq{)8yUp`G2Fz*k12y%m zzN6#oPph1UxEGv_-hdhC#{!0F;Q zJ)5VJEUEvyLA@C#K41U*S6a`~YZ==hdRsX+pq5{y2)NAqG7=d*wJy?%0@`FVLoTB^stwrAXO4b+BeQ1$hc+05aCa|Pp#X&Mu}FL_;4o? zF|p~gE-}DDO3PbaYfka$M4(}(X{;OedKJ_?K2+uj~_qkHrNt@lsB_6x$PnZLciKq(Wt8{ zcS2%f9@=Kueu-o2QDg3ud)oO<<_A+CPG3YkIDl`Gk&)35$GpUD$BLDIx{D4jupuMo zxBt06UF^I!@vTPA4Ky-G8b+Pfct7Zu^bTKoJ4E!2xb@d6mjO!uB988g~fOhca zQQERV@=Rma(o|OutTh{e-yjo-cd&b4Itbw|Idn2`U2Aed!dWVFP2 zXQXD0&Pjea3pz$|V^jxTFYV~a!IyEo6{t~4SpK%UA0$O}UEKwLtB-n3%cB{mVuT!+TPl7Yt@Qft(( zoitdJfT(3{W77qy2i4LF{m}VH4C<*_tY(qTgQ-BnYr+Q#qFZY!x8TmIQ}HwB>4H(6 zu&;m*K2XSYM^?*!oB;Kz^Y4l2Z;;7Or{73$?^0kV41~aTP6d5$xW4)v|A;&yBqF*L zGGM?(1t=JCcIHxH)JhDC@PpomL1!qeZ>!98&Nhu{#P;{&*Dt++`2euY`<&3UJ3VUK zfILg@*1A$sUVhLJ4!dTM6KTPa4s}mb14dW3?E1QJaoxj`Ao2UKlj~&i)?h+h+$?6ay6hn^OpZW6A5HwWW47i1MCH|a@?m+pGvZ2 z%*eZ{gql)SM8k=bb zUjX=z?m4vZXi^odDp5c-G5o(ZViAE6rocu4fkGee1hVr<6J6Dv|T%5BfTP zpYJ=b?Q&9C-(KA=W(m1Y{Qe#5b-okSvwkrg(!{8zr?=4R!D(x2yXGXA`1y1A)|R=G zU{)l)_{A&(2NM44?;+Nkm)p`q7}U+zr)Dm< zlzXe#-ZNnu{9>a6InZv{zO=c8MIZft&*Hi|0+1gU+I<9M>F^voZY}3(=B+1l?#MdL zd3bq&UxoPHUt#?fq)K44wgoS&d-F6gGn0Ip?FjD8(z0VKyCr|naY@hJo%i8tCpB5z zPR5wZsw-E(-UO|dwl-h@ldZv|FQQ&N*p$ME*=i^t=z~-n`+^r4Bm&^Owhd%|0)ITs zs?GWfCG%1<%OtG%$Y5_P?6|kKv?Qjd5B*gNV0QtGz$4H$Ya7;QipCu1lsJ<=FL?di z^^Ho4%Uj+c{5d%540+H!viJSl0`tFrj|l$l1JaFCJwL3EkjUjmA?8guJ39;8lAi#P zrrnr&(%*TpPZ)@hSwp7xLl^Di5Ad;p zBZlj;$@}~JcV5K|3q&dvO8fhZ%g2)9@n(W5gHRJg%pPr%HUPM%c)H87u5V;#c2H8; zW1vtnJWsGJBcLgoC>|0YDmm2{bc>v$4U$ zu}P%J9WCS~2a;*sV(#?5sVy%pEgU5!Wl42)Lg`ASK@&a{JGeQkPru$8I+*(iK@Ncf z#Y7K-2d{!q?()Ss#h^Dnc66RPknP~$VDV+dqCiazjV}wa>WJU@gtNzL+~w%-fPZ#3 zz6^ym6Gy75rX~S^3-B|4a6&HUjb7vQ-v@vHs_W?V7jUk0_=)IL>d)?Srz%-2=qgAxrgN5j*3t-{-wflhsY*@7s6!i7Uk_%qk-0qkT%VD^osDzch zoFV|x{nsxg--pwG0Tq(c@^TE8RPZ2HK5%*E=0E-YLDN`^>(+`dC~X2xx-?Nk0 z4R$1EtXztJX+!1}KkU&Y2-4uwxlE)-WE>F|H}ZuP6A;r?!a;J+mAX@xz^r<;p+V!P zZB41fN2V%Ur#8vJ%S+(k-~c5r7$A2RLXKj*(;Uv8fc1QpQS1A<#qf%HDJC~zN)DqI z#5JpTWs-l20Qxnr9M_~ep(wq5`&Om;5)4bLu39mF0q_c+>*KzR|LjanH969H?D@^E zk5~SAiZU@Xcio=v!sy`oJ_$%=3<)QUa349iNBcjs8+=*X%$JXgF5%%=w*uFv{FyCC zizO~yFz^_E09cxeF&l^_AoX)t6Zzj=>_eM|ch6~YQS( zbVNm~HZ4;^1uKD$`1puqWM<}@3j84!5V}bHRpOg^cGR@W^QQ{P6fD{S36h-V1Lpl= zR-f#h?tP-fePOj~n8%`BT>JK~(iu`$B? zGE#=<=8NAgxSL9K%AtCCQL(Xqv0sQ!>lf_@$HwAYh4&|6Lo|>;o#6Fi54PO{{J$-7 zx5lIgBso*>%6WXyw*XSVy!D^8=2KKti%)Nd_=@Lf{h&kyzj>X^(AS1}ky-}*l9(u2 z_8Yhl;b7_@5mAXO(E(XlYHF(UTOyVWpt1nR2+lK$3Ja0I2lV_oIwdxL78CGa@61kv ztRZ@@J-AW-YVuCNOqM}0F;jf!8EN%nj0&v<5 zg0@eCjlF#+SORsX(1A^VG(BU7=ch+O=MBVOQ*QC68?%vg-iQX--yp(I0;0<(GT|ci zj+6WCC;;QG>wDU?VE}vHiFZFd+v0ZQ-9SYBP414I2r;f z*v+8vMchvqn_3(b^j4|X(m_#tL8R520*(E^X`Dy=DCM9*bDmf#G2x13xdLs+mwGq6 zWO1<*AYuzrU;{gU-Et9HT3-I9#RA0c=eiSJl?!=QH#_hj zjeD~05~smOH7Kx87_qeE<M5e#cEbMszN zuLk`}Shof)7#+Y?zW^K-_O4vxdJkLtN8X{SSEYbE7Y&;MWlZ6zGcz1F*aPdbPXM zG8Ylw8>g**t*u0kIoPjKQc`F{L_~JX62W@I-*{neTzV&^r0^BE4-dn3)hB>WcCIE| zTwKsLcvJ%M+W$c+4<$^lj_z6i`JRhLOpKCHF3gDL5Qi=a70kSp87@RoNvRuTnS9G# z7esg%wgT$X*Vl(_Ytq(zWCvwj1T5~@yp9aRl+FHsI3W2yaU>pKcV*r@`e%uFaQsb8 z0qfQu`-0${dk}ULR)WM6DJ<}nuGobExsjxKU*xsoC;-bm)y854{C%dUM0#1tiuBw~ zUhLp9^*Vfo+>e&RK#-T)_5@Uk*KmISc(WFQlV@7j-Y&Lr$oAiV|3T}>OFoivCZcOT zcfaR<`f1IVmzxXW&x~^>1)8#VcqnUY%hG22p*KEsUC`s0qF&!2(KT<>5+R}|XwhEY z#f2wSnifP6eFKA}jErCARuqalgMqEDLA;%~)T`8~Z)~KKke~*}L@4(Sm=s4EMqgha zfHEn7LH7L1fez1~qJ{+&nLwP*oj-u8s|UV+REU?Xp689RFD)&F-FOPSZ%pN@s1S>| zrhI-<`e<2ob;R_(EI2hduZE^(t=&Aw*6!}+-9hyLm|Za0fH$~4{?$^+7XBzXuK*EQAqLkfTXP@SI&OGc7*th))`?x=) zf62Nz?tnYo5CKGx6zeEi5djC_Zf5RoZkNw~{I8#HX3{4wjQn8!Y)9FXcO-8<38w!1 z>e>!+6`>{8P zm!U_=W$H!i(~Ey4SERErSR}U{PCq0B1s?54$@Q1Vggrab(aepalvw`Fa^}x}-#a`m zw@;qRdM@XGsVe)aN$biZOGA4Z9ZX{Xlb7E6Y-bi+`4e|WD3On>N{e7Zg)P5f8Y~Gz zcAo#L*+lGiB~69Dj-kW<*|M=G|5klcV?0!j4?{8}FRTwrV-KovOY!_tUw`o+r^2)*#oZO~a~)46^}H!>^TdpPyuAZ&=X!RZ}s&F>gixGQ7v zZpnM;B3I>q_SW-Y@yh$;&3I3E6}VGaEM6Yh9>8Vq$>-Rc$5C@eIkF6s$b>2N8}IJF z2f@vM3$l<0VdDYvo~E&hQ#mz3LrnTpomSbv)=Gcaz>${So zn0tn)8jfk$h9*0f^IK;|!$dm@ZWh5DhF<5l$>w+ECO+F4%=_up`xA8^UhjqRmzVQs z;Q_^X)M)T7yHgV0+I`JA3OzUWj@;So!kzqoUVZVHdB=OQ>K(hWi)s5}7LfIt?C!lR zf^T8r>a+@)ddFo@w`Gwu6)%LX?OjaBSL^2i`QP{!{vJE<_u%Rv{ofIMKm6hB_K1ER zNAUN3s*q|9*hyAO7us z;O)WTr-PqfAGo)N|Lx$X-w*zNa5z8s>HWd<;6P4V9*^OF#hu3%{C$6Q`18TZ?ZGd9 zJ$!L+{PN)C!J&Nc^UH(3|CPL#^zLuB@Lc&|LZ7e0?@Q>dI z9^S&Z$@}GlpKQ|Z;1jz&;l|1D2Nzd|f2P0xEBsFA|D{f^f4MzQ`+NEaFfaOR-ruC3 z^!qEj`1~>-WimFx;?n2h`$@~Sw)5p0;+L|MNGq_$hSfo2&R~+bv|F_Ru%IJ^zs12WKF%wB0Po zl1Q{^MO9Uu^B!-Op8T@+D0}&Tn_kW*p?5>}%YDT*DzE)<+(NJ)6WxkS#doA*GY|TB z6c7!u#tLS`gZVs&>DhtbIz3U66WQ#4ghR`S%@eZS(4$++yRU;=XmL#5K95>o z3{B61Fotav^ap;+a;vnD8QBkJz8k^sr}Nnt-dljMK#r3zOz1Wjwfx|JhI3#7rtQJ> zlOF})(X}`ET|CkHzY@_o2o}-loQ{gu(U(_qa+o;ur+!GLH{pjn z6EpA~5kn%MbbD`Ycf{#PbRGn=LzgSPpl2?lmIkRkBH>5Aj`4-|#d1DLnLMXw3Vzdn^wDIJ{r-MVMC`R2 z)gS!hn6+g8NDog`82D>>-URlNo#%5{&Sp#j&P3)_aCPNPlX(<ed?_uEp_<7JqC*e!_+$V|>M8W&`6i7F+y z>E~cJJ-xi-$W8g_9@T4v&9Yr`=XvNyq!)tr)GF2fSZcri)g4dg9$C*~QESqY zbQczagYZW9=;`zwnY;ey^WYbkzgwoQKZJe^_I|p02Os!rWIo0l=tsJ!3IzDw@BZRA zxSbO|Ppfx-wZ4O_cBDswX9ES3(?zm#9KoJc^=gcpQ#bMIy!FV^=FQ2nz+JRqN3JwY z_PpdE(5fsjZhLvky@RSW-jv>S5MC{BsI9U(jJ7_*v23yo$(1-)Yq+BVd$T|BW3o2J zbk9!KpvpEUeBO`mzC^Ki(_FeU*9G-efTvt#FskCYn{{R;~#xn+5g3}+`Z z7Y55Y^#;77P9I%SSbiN?KO-0@8Dh;kTQo^ce9wP%G;rKlfO%smvjFaJ;VO_aRRnpKdv2_%X%9aP!FO5 z@0mhhNFxUGm>=yXhx~ zev<9o^n&`^56}>PwBbi*7e3HNssW$#JCkRW8;#&X?P=t%Okb;#Pg?q)p8jW~|C#B3 zf7Wli%AWE4x7izZliRqr(l>qw9scgeaZ0xeErGcC!6aoxE`B>wG9SGu$XDq$;9D5n zEaKH?blCX zKn`b_1)rRk=nr&%WpmE+1gt3=^n z5)vh+rGPS-yJ4p>cOgo)Sa{(nrEDZ6t+MUz z+0M+njH~XU)u^1vWa)c#qfLg<+-x0dSAwlYG3;H*k#&qK^SRw{8#T7Zmvh*Efiu$j z^GUHMstw*YH$B+sRI)#s2JC(HPT}^vO1ndM^7|E$t@)JNM0cJ~zPNLKb69?JBPZ*F5NA$K9gjr+MEg@z=B;@Al-RI(6noEXV@Pu&K!!YD4NrDLgOq|{QZtx>D) z%pZtaul@OJ5UAAMkbcVuX7CArmS~`ALj&c~Re@j!hs;KuE*EAWgoSau_8C^`T0{7I zHghMLL19J@X0gY=1CCN`?Y7bkp$%7AH(xA)aBXt|n!E#B>$%`z@5sS3B%?T;gAMG; z3nAL>au(dO?n6n#yJdb7As>}O5X}ArL4lKq3Nql3KlQW7N^&q1v&cMudw1=E1&q#K zFnwooD*F13~IZrD~3b^r3X}y!={#+TOsBU zw00JHpM38&F&aRJ%ecI^Pv)h)-A3%~I&3|l73!8e zlv)^s;7%Q6^9JvPsJksf5l+ayL$v3=1j~58rQvZD(}w0>{CT5)-H+pXFHqe=^h+1@ zi@Ja5@}UsbOnwT-j*-!}ehyp7cYXFBth$h$EnU-}% zZ<~%88*LUFsemzFf_<~m_U3$igh4F+Fgj`AJGmO)+E(0@ZyH_z9VZt+vOowWQ14R$ zl{Q5v9g2aQ;|805?yL375p~NDcPppxh)!_?VNDESe;n7G2GzwCVV6JlF31``@jhnJ z`q{SQtZ$!JWSbf(Kr2dgV$D(~ay8 z{pB}~mA+&{etv%KN74nIn)IMUeUp+aP1CtZPD?Ns_nw?zd&!wea_3ViCf~?1L%)We zbP)tI&zUbe5`u(XJGFi_nWa@O#n$B^RXN zQi^?YRE%icB{?q!p+vJ_k`zIBDm*m%0iHuM8vIY*?8_b@d5OI18h$N(S2Dq;sM_R+ zrZf(8{TP0i`BJOO2o0ZX#|HItlAYOtPs)R`{etX&6a-Q51kvn_Aja2a|4k-YK{Jf+ zAf=g=3z5AAA=;=Fn4#~`P=|tUEslmn*HH+as3)lW4{7Bw;W(~?C&`8rx?%QV=IN+H zRPN=f5wulveXQJETO%D?lk*+muk}(K> zcXA1C9Z#aD^!mlFH1nl@lWqZ}VMGI{_a&kxk8VSE@l^T`+P^V09Y9qd(#iJsOSt`4sZM zNKb%d`B&1Y=m(|n!kt%pGJ$Ei|Ch^4n9HTs5b8`19SgAk2g@jlPf4gto{|%Hk$(cx z7Bo*qqo}C2z!@ z*TodBTP_)=s1;Q)I9~dfeg@5vEfp;ukHY-4mP$r#QdA|=H3moStxDE*Y^@HgtdX&| z?2hLT2e~nWLgs*{iiYi^ePeKDar0$t8xz~s1QXk~ojl3J&J$Y`+qP|c;)!kB*u3w5 zKkV+;t?K&Kt*(2&+^SoB`}8@d1q1<=(#7zSS#-C_0N&-h4ls0$MIonrRHUFG5zWmqu|f4w1QJ8OT8?QEI`hG!xg+8u`aH7Z~U z%TLuqzJ$1NJgbP?8_B56NODZAFt-aPP**1OZ;=b84RBT#1#3X+pV|~!__`q5QwcHB z^hQP2k*brX#Un=;DYmK=huwk+FxVU#%VrI8uGZ{O^FcMw!QzW;O8Q@9|dY%BQl@wf3@(Gq38@obU$W^-vJu&0#0RJoG& z=VPZxiZ)vcrp;P?{bRE(m2}JHQx0;rd5Vm)NEYdG84@2F)rjr<VW3fr%EMR_nK9nnqHhAX zOmSdpXUD^L&ytNN){{gI1ib(hL2<5aCLw_P;p_`kC4o0Q!Nh3`Z&6S=tG$k}NzG(D zaCes91P^`nco`Ar>ZZ`scpjblzSgq|j%0@(FIA3;+R-PZcR)8eZb|&=8ir@6VVD27 z|4FqbxchSQM-MagW4`*d^Bu)pW6`Lcwzk|t^OofHU3oLR32~g0%3sRHd!q@R94? zvp)8t8yh-m9dC2g?#}g{(bHXS2Oi;Qsgnuz`G6;M#Q)wJYptoHZ+?=z|3*>$$AqPp z?aj{rWddq*tP1q4o{MHp1S{grkSn9d>PPB1kpy1Nh`|2=Bm7OSOOAV8D0Hq|T=0dr zCVC%?w;95ioBYpkM_ione_R2Y@rSl`Y7@!>HTI*RkdBQf3WQ+!3&mWA2t*lHl}7SA1>9o%Md$_Ee;|GCIG-1XM8H6p75m$XNrFp z&14T1tT|`E2rd@uEOS$vEIR<8N+3kJ_J78n%!$zrvG|^vTAp6uCzcQZ9-%wN}qbxfx%F=cUs9v^J0)dh#y~&0*fWweRYD5bSoEI4g=GvvYJbl~wGDd$p-XdBS*aeyxkoQGL{gqy~t)>j$jMlBZS{`%~2uE0i z-Z@9hEap@n1X+4N&^Om;t<=a{z&0PIG$T>w+N|W$ zNe2zQqPK~V+qC8ZuN=f9a3&td%Ss}>9>oK-frA{h=E<*YygYGiz69K3JoPSh{nK|( zqOvVAV2x?|QNF6&r1_)4>XB4agTUI?fwOhmtX*Uyp$(6|*B~tyBpIc|3ZMv*ZedB~ z0f`J-Vnv|{5{j8Q2Rk$+Oy!|Gz-($kCuIeUs6oc)?Kftl@t8sn*10!LXly5|1;Hlj zVy+Yf*Ib@4qo65GkRockV^qAK4){-Iv#{DFGP(JHUv4Pv4;co3))_p42Mw}+DIr7iN+m{L>cV1|{ z)NFNIWRZ^HfX?|!FG{xS%)j;&1vol-WS|n`4vrSMJ6>6rvaEk-W3!y=iRN#efiq@L z%D_`@$r5w)Gas`;$Mt40KN05IB|HVyCI1S!P4P#88`n5L;|vpawsDUvqcH zY(L<}RU(9lAH|dULOO+*WjM(p%;uPyMEd(^GWToG-Thzuw|=(RUU>qyiW*@WI!jHt z)$xkJ_{wAscrvY)@Hw&M3+;uLkKbVI&)Z+zd_I!+mFXl^p0h!*w}wj{3nWYtvT_;A zZ-SPVAE8jx){CD9Sfp0(Dt}fn9z$@JARM;q4HdoX=qXzQJpP-%kgun;XFJ%sB(J#T zRQUwxFY2jlWcimy$h^3<7Z&r5GwX>Je?KtSm6fMF|L08&+dZJL=0k>#^X5Qmc-R-?e{%i z-8?6b5&1I4^_qn*wLwQG*vK*PPrv;2W)r>f0aD4_5hcws%^u4 zUIZmD-CuB6M}oS_wbBjQ#8FatM?!$O-K@-cgMSG`k)&bmI}BwQCvf(+jYqGicC2ps zi{oi6s+*IE$iU6vflKvYi{F2*1)ePkwk@h1J$4+iLeX|4Hgn)wUT5leqw|KO3iC#8 z6+|o6g!Xs~yII)Fvgai_Qw6et+WmG}ke_@j&H!_oW+LPZzA|ldOt#`#cYc zs@Znftg!YW_4m>Ip<|Thlt~k5lUFBOg-VT@R&`iNIN)%sZe^;WNyS5?!>T3B&t`+< zrLFp2Zmos4BDXUQg?qG`OWLc!wd0gkvaZ32j`4=!`nSbNf6X$3-G>dqnk((t4>YE0 z^MJ|~R-s#tm=LGhWaVM?-zW7Ldzccljo2KekxAlBBUtCSG(>e?HDjs)8s{1lXZ7>KTv@p#z9i?c z{c5du<;D-4<(9i$qC?i4l<3JGL%t-BXy>zw=Rk+p`Jf7B+lNu#JoS!t`&{!6Va&(u zHUoh<=imkUQnk}M&hzD|L5$?Z8Px`E!-TZNm^$p;ED(W{PbRyDO|Q?On3Jv-pY?@J zB7+X4#nIGNN{U*QY)0MNZVO%(9&HJDE(np9dsiN4)&vs4gqBTqXZxIsIOwYxuU;N> ze&AZ#0F1?Fjv;(DW57y^ebR;jChMD9j{~;Q{r%tlukJU8%{nQu| zis_g{Nk6XO$;9;Y;JztRD9_<;-UI=mD($golKqniKG_Ikt|02>LahgV?PV-hy?A6y zqblbTafWX^ih$zrxzXZRaG#^ns2O|SFCYrm?{*n22 zJVAdLX$d>`{fL9g#N-+r#Ak9L>-&1mKo$@H9%9H6u_?A;1n$_39D1AWC__6FBXm1* zPLv?gezE;rcsuxc2lOj zdcInu?+R6wCd+~}$u~M;C|7(_1B|;0h60C(XtJ6aXx$&0o%5LYHO_&jkimJUMkEHSU50bn7NevPZEbc`mYyNCNn8pW_fQl*y2lcM5#)^>valAfki{(az=05~^IxXv5%b=vHZ3BTc>%64{10I60FRTQ}; zeh$>=vSG#{R{jdCn!&4fsHo#;Uo6N{u+*GwP5PIKW`^v^tRP+Em(6;gDL4Rm{Zc5cI*^ip#3 zW`TjG$ItVVR_*(VSI#AkKH$X&8&`xt_q7EDIq_888ba07yWY~0wb1Wob1=MoF~q7z zyb$#uyz~}+WPUDzFeFJE;B9vQ#=`xuum#^&9Lhd*YP>}ELo%vphjhw>$GnzO_t}ss zQjP+)VBFImDzS;kFafFbgsrQBs;3uYtii4Ej$1|}h!2I;wKcEX3SiL%+9w5YaX&}y zt9<{;zN6L(bpy70?E3GL8-U~M4c{m1eqX~eu(H-9K3|civ zKfValyeEH6UJPL;$`xnabdL-6&E2nbBheIvzWVnwmI(!8&$$RgIu z041oMtCh(tcOVyg(n9e3Lnewfpf4{#(6tseQ3UMleJrY*4D3<9dgEn1Lu{@EwW$K# zh5ELb!O5HZu46OVP1n$?52G=B$xajF=neGHK4MSM%vLma-Q@BQH-hT$T9uj^zY}4< zB9tm#uieJ$VLi$0Fb>+-BYW$L_74Rn6BVfgLZ@Mr6(C$a^BFnSvm}j2x+bUYqatUL zFRck#O9Jj1a_~?%C@bf_)+NinRwgzv{0An_Rucz-VXC)^tNeUBJS_S_NLxHLoE^>1 zcIHD%V%WR_2Xw|EO#z?b885y5e`pmq2k)$bKbH`jrqoDWRZ1~_DRPA)2}c^F#q`P< zjfyjv0i$X>-)XOK%M0kXFIOAPCYCs!_m}I{V>tw=1L! z$mEpH{4Fda@_njyt?j31{l+|JIMf(x(F+grL#kLM zBuDD8_FW^DZ<$brS`g(QuC7|G&n0}$0nDKF60YSD_ zphD3VuW#uAfm(7#Jed>szBLxjYv9Rt5{%7AhpkO`KTbh4nPGTp@Vcqm{;Yg~q3e^S z59E6f@LqLfp^zwf7wS#sf2Qc+_Y&L%l=BB5OnfE46!7wYl33~T@>XAEZMYpoY2o;cu1xP5vpBru?ITLsg;M_T& zf0n!mJK?ldEx)M7VG+#B#VpOSoReEw8q}$?v$Lk^zmaUf1PLrD8t+9d?iF3!r;W<=+I z6+}OZ5ULQIUkQ=XpSj?i=U<&HHQSIw5^&C3%3i7 z&OKkQLN3d+Z%4emTmkIZ_(X_;0;>*dVY`H*drevAXk*|O{Fl%Hh#hXt=Ppl^CMIEj z7~ucHKof!6sF`BDS1xZNaQ+-^f0U&(=b6al>30_&onvd{PzmZZ`duNr0PI*TaM0by zU>U?=7MtXQvZkQ8H{TXQWcg`1>*doG-b+vZHyx(SqB++IQ5?&fl9^x4XBvXUyMSbv zhT#d5L>Bta1BFUG!I^0%#F8AJuMqun{^n%%Wouf#Fz2s9PyF;IQMacDxzF7QYgkUq z@E-E&th=|jZ>H|z)Ber#K2Xk)1K>-%&DIkGGi^NSz8r4wk1ZB$h z(hYfpbdABeG@HRI*U95*Y?o5wZ>r7iMwqTy0PvVGTl!1rjjLE;oRF8%?S?ef_s{Xc zJ;5Bs$-?nm*Nuh2BRc%X-_7#`=)=x^n^p4Lulq+#j~kv3UzzBaw|069#O<=TaQ}C! zXyeFJUiQRyMiYe`9x2= zI8f{tbujj2CX46vj4Tdb)5zu5!7W;VxOA+HttOgUZ|}BLu$zJOsDgeVkm3qav>`s* z-gv$k6>>Iobo<{_|dcQv>QS~?R5V4k;;iVPvpnY+$NqTlyeC&_*c?IU02-uWrWMF9^%JN(8Yi;uOOv}YgZhxqg+he*T^#;cr zkfEjP`j^*yj>?vf&I~T#xi6=GHouDC0$R(Nc<+p-(!m%p8})~J<%`d1@?U-5Ae>J! zH_kEPu?6W)gS|=XJ=+PxlLAaAhFaN`cKTX|U*-h>E_{IWrQC<51yj$*9j`$9GB9E@ z@lQUkC=KMm!swDKg@lc2qgndtMfI{26Qg|z4;%!X0Bk-fi84q4X|(>X`c*{HCj5Z0 zwh5`IRaA4Xw#VseOD zlt5}H8MXXh?MUUtrfNFG!+2V(_aa+E0^aR}x?mgZ!V0^?-%NV}3a$ zbM)}QkzCH)1{+apofr4}1!_Oq=W(ld2DUPwnP+oN0OHSPC>k}LBY){%#CXjNAxTR% zQ1oiq6#6I?g{Sq@bG&tPL4K=hbq!4ZW}tB((U(c|aem`nS>`!{9>9t@cqZ*pxn{?| z<;&e1+0D6-&buVY9D)GB>^trfot1X0(Se$bOuxo)IsVGbscob~6wM}s3ETX(3{&`B z2oF^}s+SB?8gq#v$tcTMMm;l{DAoe$vGirt#DEQ1!jQX=k zutZ}vNc*aDH3!c>V_JbvsJitsaTHiGVsLmF{fmZ%mm$Pe|D#;Hp+7C_$4!{8iPAHv z>h(i%jC;*{!7rR96R|k9{i~Vu3LTCIW7lK}4}ZAQXNSXyR4U{%!OgOE<^%Aa z(^8DeIaZZU_AYFA3+!dO-d`p^;zH^%9yB~nqYDZ8`>`4us+)yGl2n4zNbUdBAoojd z@RM2I5A*;D98Iy{!e%%^4B(tKLB!eNVH{tbyZ%J@+5=O-1Q&HinAbJhh%q(j5Y1VF z>MWhjpZ3^CrjPvDhnvn&9%8H|r)h^A*W>YY76fuh5Lj`t7C(EbuGG^rQTU~k{v0cn zuUZKGc4&!n84vHCH)x@E=wT=K&PZQiT2<7daQr=F!Rtu6@~2Eas(kZ@34+!W3XZj? z3mz$1loC)l>*lm?zEhP%o@Dm34lTI+Bs$UA2+=uJQ;)nW2bUUxR&|JC20pj{ftt83Knv`K%~HXIJ&cR_I(u7Qw;M zPW)LY&tXWXGGNpA;#MtpCwuDNr4VSz=B+p5O#Wee1_d^RHTI%P>ZSY~ zoXJ%i{6kH*Z%#jf>F<{M^~WfjStEtf&r)((i2|uq!-^DiXFk;BOB1Zaz`y+qBsbvR zX=Fg{(g7>1db+}V$fbCS?Qe_^r?vpq*%HDsh75)dpT;MZ=gO3^IFP1Hcnp+;D-n-+ zVK6i`GF*BhuQ4EzN4nyoXcEAKJ>OS|t*kvem!7B@@vvxal0WXN>}VxUsiV#GUD6Z; z4tp?Q9i>Cn=*UvKyV_ctbSFuD)I=7Qi+~&#Abx@^EkS~{L$Z_Bx8)(_1=6sGrVwOQ zJJ8v|T1Cq*YsTXFPvRmIOI{}UMUm)^P0K-CDUIb1T1v^gX4JU);!G}aL{2^0mJK_J zYE^$v8_ScnSyX^{%_2NF=(8tGg=g@TAn{teB$Dru=Zb1;nIIB~AJjKY;_R zsR3XQ1w;H1cJDO4}d4RPt<2XEWjR+6B#QvG*V? zpjj%Vh=u<;r+BkCsyGbqtTD7HY<>zwN)w$Wm_DbkE5)r{9Q-)@P|bFFo7y;&;~45>fP+Te_ITdPos~9pcY|P`Ki5EvTVL>k@JBkIm_@a&NFIT)~uMjpV92TUn{Z5)YORPE(z{VRaxs|J9ye*ci zN})BPDnQOtYu7ytEri5tF<-H6mPQ+kfjX(_ZKz*EP=Zn#JxYO z3di9;lC?tHl681T5A0;=E!Nl>?nCX+NIFJg7Z#Jj+PD(o0G|8r#Cq%x(~}DrQblr zRnrt;O*!~RGKZak4nbDuK7g+0h;uA2a8tB$w{r{#!ca=Kogc5d`}B3nw0AQt`0>>b zt;VpR`0TjhC-HWe{CU_U>QJVuzS1?m=D9dF;t6%3kwNbg%YFY=sm*J+04UrM;ZlE6>+m$d9@t`6u8;x=`sSz+H#+emu zZ3nG8tPo-)OL*;EAFmlKx4ihS*?1dm3S7NOw0M5^cMKsp*NTxa+9YW* z)erFT#{lp9)VwwPzmemo@-=*aXFyfoPzkmP;Z)OroEg=Xb`RkxV3D!$i~?|UcQ1!!t%+smjOdIk+FNlrh;$drOI-%5VfGJf%q0BaX*QCh9=xJX=y!p65jE-TI_R z-9Ov$s=5--n5 zQR{?&7}feCOI6MnsC7Frq@1OkSXLrja~p~+J*4ysj^W45yaFb$pE^%|mKo3oy zrZz(Y9kCQeK%PrEcsm5O5u9othvlro9jZQ1_Mv1d z10e?OUp2Z{S}dPfy&YhsH6+E9!%G3p=1Vv|Uj=HU zMKMk2zY86WYt6OTteyJep^LK?WnpNwu%i1Uxe7Jfe26WrPmPcdzR7l{${%R2f% z$TCbZbkU{M)ClLRc<%4)S$9904&leEIRTkb>W#Jerddwqz&;p3q@|Z>q>84n|e}ZM+l=utmkCyJE4j_`YiNPzq`gDFsWycmv%C&FSLR={FijA zH8r5(ESqdA%t}R;*4{-HoD{7ZY4SHq8i;(T&oiIDT}Uie$8g@Z)HoVZaHu%ilFN%t zx0UaBX2=)XQ%b!`i?76kqvo1_%j_usd!88wS+4)_PNyvmCkm+Ju!8fBufxWA3;<~1 zCLOtsPsVBQz9m!~w=ow;XuG+#U(d~(&E+KgO1`X2g%BwO>a6^clzU`ycC^f~$iJ-6 z7cV{fo88nhx##|M8QkYdhZQShAJE!QEj;##o7Br9Sjk~&Ua4q9nE{cc!8ud}tZfY% z5xK58Ec)$wdjVX|7`pt{F=~=UiKDvhlg|eqb`qaZFRQeat=^WZ6w-`qf=dY#@{+}l z(>hF%Ba_9SPtSzXpVmqqN$5QlaNSW_=pRF?h%tr*HObG2;-`jV(CUL(phjRK^KZ{NaSNBAO>fy)H^%t^wW8V`LJ}UOEmx zVCI?>xe2V(*MO8Z5Ld&97h~D8B8elvPvk;g={zQ?5c&Oc)V*(_ZwFy!40;r<$}A>U zW!rJ%W~WvX!)`^{*8sX#PRK5}6Gd0tKuuq(w&^VboNhOH-{dg~UAWuT0P<8r{GU90 z41XK~OedI@0q+dQ#TkgR7$7!c0N(8ADM?GAQ305l?_WVA^6wYZ zRI`*ABU5}P0E5%OD?<11o^QmU)@AGA%>AAC5IyOacbz(x&L1TQd(=F~+slI9WQLP~ zHmy7o98}JJi4T@#B5mGPhY$7hpC}z*sShZw9?l!?k2Dv8EoVYZ$2x8!HZ7iIf$G|C zkFcO?RUx;6slQxk1@Uu;Ah)am@GKLXd-xse>fgut@PXy$8??0@R)u`5xibOZOf#4( z)v1%iB{-vl=rcbb`AZd%LbVgrjJGASQdX(7hg>MtKp7&cZ`& zD5~PjJ~#8A^jH*uS^YF?j&yl}Mc-g?jKzMg=Z4Mp7 z!3l5CYz~!?GL0l7e3Ku;wmp9bbo*bBoQC#O*ktNNxS@elbz^_A264YuvYI#-Fa5BH zP$hVkA}J)CB#@K>%O%jF%R-?q+nOM(1rl7#Kw|doJVmo+M7^HGqKNQ~LR0~o)%j0N zcCxY&1ESc#c*>?l&!8u;d)TSAP9rw^l|p~l#Z)d)^-}EP6nXK3tZCn(7(|9a#}Lol z=0|gfnc4DXbCZ(olSJnuZ^S<&&>3Q0*2Is7q>!YpxG?2wrvi0h=u+2-!PG`^T12|w zbT=|QSW6_8d%H8s3sdpkF;J9!8lL7*p>Dli*NJ{ZE2{hY^GtnU@*RgsvyL`Dd+fP!~X&ri+V=X|%jnD4}k9jjoO1EOe1>U0$)S>N{Wp(*B|NKWsn#TH1aD zh^1PF#+nvr0$Y(LBOz{@ascpW2i^-a4q{d1{i@#kfASGSEixszK;454}4EZw_gfKe2wxLk8H?x|FgrfJd|N zD{>xu^tB$@UqmbGMkCdL%u1bkDn`j`XFwv(c|bcd0sjqWncE*{wFU=1Ex{2Qv#es` zdC4nf6Qq;(6zvaHF&}1w1ni~OU{{n74>b*Y&yS|sM>4k%rv1e~_p`jNf>|y?PXjsr zW-Dgc)qFb!Dd*Q4lhHkG+JLU`{pLi@(PY4Kq~8xo0Mx=^^qK`^t=r32$t}!XhN+#= zD9Le<0n0zO8H(`Pw0{2nX& z*sltPDwt9832WGBId&LGA}M1fZgK(yV5;iYxd~JI7AWbN5T_335~^j%b%?41KGx=M z-qNWHeRfzzsi7w0qLwg zJcL-o9*?scjPrl>b)N+iwObt!k2XBZy)YY+ZXz3>tG&J~Aximb+lU3GsGG*KKq>b( zEMf=V#msLOU3~CS4Dl{n)vV?=@obeR*uoQ>&SGMl4% zPUxN(&i`jMhY-m`e>W1j_kq4UkWE;E`K!-unQ2u%6}D%H*KX-kEPeRBFScgO10I{5 z={OZd^MT49Nl0x|>zJY6a92zTC0=A{K|y$xbO#*CT(l&Rx#FJOHxWhKdru3_{s@zc z(jIeb6||;BWANWxThY+(jYT?`M!F{APuhLP0zvo4H!y$DdN-S zpV>w8psHYEAqrj3r9>7X7OWJz{afc~Q$gu>L|^mQKb4cx;C550G)eD2vHeJ~UywRwGa>?FtO zX0Uk_4GDZ~x=usButI}=+R~2F8nu2Fod>R zlFY4%`XBc)68G@oBI%5j{0}mniPn;FKPOIkgipnZ5cv8+%Ke|SF>PCFSUo7`XVn~ea5i$FX)6UY~xT)$o zglG;U+N;}*nvy|EWt&NMcI4_x*PcBQmONWOvGC5;nscPnZOs&yZF{je$=;Um86Y@4 zf3AfLMsfuUj?cjE1GAUOKx$~#(fyf5IU-LCb?O)cek%n1%QIR*Z16L)5Q3_O%qMCZ zKr3(JRKKWQ3EQH3lxbyH)@&NMM3-4ci!5wy6ke(!YbCGF!Tvz0+MUouG@++uKD62A^ZSq0B3~XD5g|l29Bk*kGGZC!yLAoJbIZa zlu`UR`bJRFiO_T#=9uYRdu`NBWe+38B1II-LKIH1X85>3zA5K8B``&hhW(U{%Y>BY zu7A=oalc(1@@u8iprtNG0-Y6%zBAy_cEalZ#vp?g@@JnuQ_NGMBfasmNo?_D1J5Rx z^s;vzi3u{mA<0y6(DK~n>_bJ6!qAwh$)VRIYt0^Md~IMp)akP&DRDKFQax0q&rdqn zCf>9w^rb7wS-I0>$`OMI<9!@i46s9)IMO`L2(vj566|WZ_DLFfuiaBOeEb#VcKUIA z1`AoS|FN`y24^Fee{piue(18yblQ~~hny6#dR(}BS1%i=qT$ru4RlX=EFXvtwcDp4 z405YkZfP=eP|IEek{pF?j!f}NSLYuI)3v(SQNbqGCp~)7@uHQDeFjh0U*5?&6Djt; z=z5|La)=`;bp-CFYo@J0NBT4P)ofs-eD>|mt!nN<_SGq(;0eMh9z~en?3Lp>W1N?* zsRBF}XcAY%Nlkb?&ZGFs12)mcX0~+-6>OxVbWva{ZqCAi9ab81eeg9;us1!RO-6<& zXm+&nMQXoReiNAHB61tl)lupuT_)jaZuD71Z_vJF;v*xO7!X%USpM)r@xiNugD> z(hUe^_4Ehb`yr3BkNaa;29?w+$w|IdC8OoYVVM~Qh?W|#j^yD1QmIs{qU+&v(c&rL zpsRj*1X_3!Vr5VOs-%dgkq4?oe=l{egz6D;=IKk<_&KslU}-fAZ*_u75g`rFZ=`H> zLM3>oq|Hgg08{H)gve4>F*@UjTAnX#4O5k{9J1}U)w^1j28m(_a77Vjsa?v1{GPuW zUPyxje}qbSiWTiFFzb|UEO`65U%dY|thDFPLmT9$433#~hy_=&vZ-^p;26Mg0lCVS zW=KYfslw|T;XtsJvRg4oznC#R@zKiW1#qd=1Mq+bR@-%IuMPRU@t%==QiWwwE3Xm| zpEZd7TZ(m{U3gPj{^UL6LWKtOPmG@l5(u9_Q>osclQd90#%9Ft(mjjlm)vl_#=#`a z`xFh2B3R?buq>+gNZ)GP%aKf>hT7^|dvTM7eg0u@rzY?$@j3g0#+7y`8TwVCXD~AR z-RYL;@oCtiq&##)d|wktGn6z~Uf&KeP=Jr`v;@PI8CydWGhkt<5g=-F`V}wOp#%Xt zD*nJ@o9f(yVH)b7WZ)a=cp0PV>8y_+)>LhT!dIDXE#Rp~(nZbCWHx(?2C5bw^)zdH z=O(@3&X(&j#q#y1(`c|T{jyZ@TpFZE?DWivD|suZKf-`{i#M*f?o6_mHjqvZ|6(z| zTIj_z4ESZ$PK% zPqUke2l>NsvOu(%Q`71J^#Djl{WY{g6lh*e)5uMh`9|Ze_G?=}Gc%wDI5mQZE2&#V z>Z^&abIM;`N_crBGc5891NJxa)oZaArm`WNMlZs_2Fgr_e86Imc{j0qU00NL6Q=XA zX(x?oV2=DVeL2OK%f=mBHJsbFyp5t>7&i8+p(w0u!J($#b*in>Cf5( z2anCZnCxTazWai31OEFDC-sx|iYA2oYGv5IW1r2t5~w`-Z6=_+BT?~g6U%)~CMI** zd?iiB{EJ7s0Lz|4!A7W$DbmWX52^T%I3CX6Hh4wv^y_0SV5j#@l-`#H#h4x3Bn>ja z5`+O7VpglJLj>J4((qcaE^cmYIlLY``kx%r4U z68zVLbYs6N9&`P%TE=jNZL~IifS-lo-JcQPAOKS=%$#$cJs}ZlqTx`6sYHINcluK3 zmiwArzxi>TaZ{H2M4m`!O-!tS@T4Nnq`AK2!g!=ZAH=MPrRiAfl4-ABmaUV?%fS*n zg3xf;f$&Kq(6&M^SULFI2^{2~B`nMyQim8(!2)5yLOnFUco~3Fx~dVqnYtwFd$AP> z468m391+`waj6=WOw6khxn&?+@T&H?a*~{NUoqj`eObS-(pAE}jk^31+j8ai^S zqG4=b|EGTV|5rW?{J-?WVb(w}rjWDiVBg1etH+n&NWIf;um4SOTX+5@xQ$8ic9j?Q z#5AlGJWos}7%P$_$oxKYM-wah$K+a+#`KZl>~%4+Nv++AZf~F+w0X?wjIB`gmHUA| zPh}QMkka9fD%&G@@A!&z67Ov7e6k6AZeV(onmx$rTA^Zkq_Vr^0HD19J>McapEG@X z9^Na?+znC=Dna>Ne%-wDS1H*l`5Z;#>%ZJ7{h)t50iVCZ7Wx6rm6Y>63ekNbDYP%@ ziTVtaz|QrFd4EZqaml%dkW2~rk{Qp1*CnyAFs?L{I!@D#V(OTTxE+&K!s z(hzs-PnXQqrTDx-q`}V;hAQ8qhnbT!oL~&3(ONMR^M_taSBVuE6vJ58&`8aHP<;Gz zT!a5=;QC0D7VBWh;(Xs$*jWIsEX6zq%IbtAxg%8sJqL}9CekEN?LPT}^9bl;>r2Wg ztp@NAkHMl&=FN2?<4p=B>~msI-r(eZN1qFx4&p*b$1ywMG*u@(%Ny{5;^~Z$fOzX|;elnJ+-NH@ja)kTcs`Ir#Js`!vZ+%=d~U&!pwG&jP9BWm+i78Y zR48<&h=92BZ+DO~CM;N@-^>}1EryEfnv% zv$L+y1c2n#-F*+|Mj!yEq)%C=wpfN@%C9vhIbO>osrj^9%mlo4o2fibO9N>Wv@j%{ zF7e#!SMVi@SsZ4+p;TG=1Zu!Aa(Fs^ccr~T0!>_ic( z-Qvvh-9$(5lU?p{#DZv(OtAh=*Lv&S55ZH<&*?{mo_HYSZ{UK{7u+z3DaCQL4{wk* zIIgjL61qT9&J4HkA87i_RKvjB5YP348ETuL|J>W(>D@F&jn7MZb#f} zNqJhUuhk9`6a0Ji&CM3?z8(2$*P>1Yv#j3E@qcHO!R?)Wp}W#KrB)w~GegR4DSyMr zcQwy3@3HdFyISn~iBW152l^x72)WQ9p6br_kEY)U`T*xyzcFBvka%v2VG9g>3UY>`PF~jr0DOkmmhc8vw@e_UdzuU+7m&#RXL<42dw(%OV zRFMlQO+hvGm9E1P4##b_wC_-V+5aO_4}I_c5AL}AFb;FhLuN}5sG3XH2t{oOnEzEN z8l3lT_U(m!?(R+#lE1!wq&X8nbeE9%L#(4A64Mes50??kAUy@xkS1_o2IA zt~Y2opI1|SnG@tl@Eq{BKIH7$S-U$a7dF6~1?I*{g<>@ru1C@6jxfRo#k=(Df zW{QYe^n|>TVu?80vWTZ;!zx0ugzpZBw!p!p9Y0{+X5kr&uatIYeJ9K55HomDW zDowklsUXz-qADblZQvl_BX24HJy~O!=?J0dF~0DrVv(^k_v12J)50j^eJ#*Q0Y=U! z97y6HDO_=uXF%skhvRFebWF5OsAt&MC$@*6FFq)A&hgw!>9p|^s!9^63BqlCb*N0d zCo5CsG|Yrbqw zjW#nsHT9tjhywd@lQ~1r?`=8+!qGAH0Spb|DM_nKPqP?4oi1iI@o?DPB$eJB919KU z;_m6t(FX|6K-6DXwG=L)oUl%j?`}STG&rCelyuTvp>fWA`8HkXN&K(I&MGLbugl`N zYjAgKBsf8WJ2dVDcXtVP6D&vw(m280g1bxO+PFI;XdppDVEFzsRrA$6%*=T?r*@rN zb>H@_yY~96P4H6%&f^^6Lmxc=to_xcqZuWNcw)l7HZh)jeiG#My}h}yzc9aMptm0B z*q3!qOxsv266N+;hnaFQRwcYG}mxT zj!@b=hw}~Q-Z)r`9K@U~SYeNiw%-zd#qb60k*AmtsGbu9wB96b$L2icHUD4&3O-VcOOkPch8H_b_ zSoFhiJCs-)feX6e4o1YNZ(VG3vux}7o!hGsN02OL`0&QT%~Q-;huz5$)^1MRqYl^{ zLFfQvw93nFWn0U)uT=?Cy63ePKPEACc%a-16TR=*HC|CwNn}h5k>Gp+d$jR$7k74! zwX>*Jy=0)afs3QDjAA>L1Pk92gv9i{x3jQMHH(bEH6MnNuG zBZ*8|jd!nafK*4xmzo%9U_MkG-IfrEujdEB>EzDW(!vCsdxcJb8h`QKy3YCVyq^69;l;XPgmh~Lt6il}Ho*8in_Gd-m_J`rg zRrZIIkfejq4GuQUv;K+;pX#FdWL1!Wp7-LR(&&{gw(1yS#hb4wBN>nMOcKQ+;o1`K zuf8j%7)PLhjOUl#7=DPn;&ihHE-xLJCp*%=Tgtkica2!-wMpT2736~=1VMZK$M^tJyqbShN>sO2^|>lWZeAE$6RN|BsKCd@!*wcAOrnj>sh*yS2S>6a0O z1<*6=5wAb*Xuo9$*dzQA{6HF^9FZ;FC|@N-S5NmE%H6`re56^!$b*?=Zl@;wik&68 z%O|PIXS)nig!if;N4~!>3apP;CMlny5~i!=Ur1=^clfw3ZL0VHXk4{5UYJkQ;W5AEfPI4y< z;-7s$l;en$6pkZzK64qrG5TX2M$05e;1btVzM!JcRv>a4__q>LG*hhF$R)={Z^v7( zi9TrorfN_l?pkIA>Y7=UiNT{I{Z;%un)OwsqVsIKgrT7~_Zm{OUQ%rYpAvoSlZa8K zN0LG~UDB#c^;aWUaYi|}nBlV_+bCZ&)I8n;3t;YRn2J$Av9H4BC&qV) z3lZwuXPxQqA3uUs61~>ty`-ncaGwu0hMzqR?hlCbYU{rg_q5G9e3!$2ZVQ+GaVvD5 zbt(jG-nsC4ImKrLq@8=}*^qAk&<%Mb672PKHTh@m_5viKprq>+(qcO+=fWtv%kVld z>nrx_&a%Ir09n9huIbq()8LWk)&bWZ?U_dOo-!9^iz!-Jhy~vId@iOx{8y)y={P^L z`R?cQ_m~M=ug;R+t#*!HAPen}O$+Z`bRx8BuT+HLO_$i-7Wc~|dgx3vXw=8m0FQQ^I9BYM*m ztcoe139QTrG?mC*l4bm4&;uY!FBNlp5GmkUs&KwDQKUEpdg z>Z2e}lpNuBH5;zQ&wf-LIF7Hf$bPxIjM88u*iY{y!`6%hE*$aY*BdNoUgkVIt30I^ z8+Jw+OYygBaI?IvBAuv&b-un3Q5oC1ucecv2XfEN7sXS9h-@)2DQN99gYh5Bh$D7a zQ-e=5+s~7|FUNtD&YI;BDa@Ho4#nhpXY%3=r~N$I+HPg;PRVaZJC#GUnotD}8Ap3l z?;{Y5d}h(vWO{(vyHb03P?12k+R~#7B;CIwOYnKXU@Sq3I;#d#_H1GtRE`eFGRFC>x6;VJ7PFg6G@-3-*ol zq=}i1nzy+6ufw~Xh5Wz3K4OS*H<9G9^9JhimSoOmyPN#!4-wIa zBkF|9%-WPwO=gCtIvMn##&A;rhi(YtsEoPwjSubQ$eR0cx z?p-x6Ulz_U(YkFRW}NHf&iNcn$dCLKz3GDIwMje{ohxqp^D4yM5TEm1m)lNoYFema zTVeg7=P+~wP6Ri!C74GxwxhGruRM1nCK{&c5M8D>Nx6uD`di@Wm1`VOFJhpm{oX>F zvt~(uUEIdk@Xz(f8#cKi7ad=cxdtf5&xsRfDx^2}*zg=W>SJuRY}|Jagx^MW=%jN4 zxCJ5=5Kj!HMPjaO*To`IhO&pNNaNCp7JJ!BNWdS8$F2n#3gNz z9(kML&(2~5TbJO5U)OO&`5tb}?IxeQsNeR|bR@=SrzCVF)(QQJ)flL;nhvrWF44{Qn5 z3?pzb_APio9i=D5uxFKPDM>NP@B)@Ex-!#JxKQ0Z+0{9Lf|FaXYl`+eril!5{KBeH8D?a4EetWh!BCday}P!XcGB_b+9Tuo zv`fiQM9hmE2|42FyeMwHN%soiN_gng&Ry*iZY*tXwHWGq<@=PwG)va2naL@Q)^SoD0T#PIn- zija*&k4oR^j*3B8f|x`;J>5q|MeWx&S}6d;lwD{FBr4>nuhK(aZ%y`dIuT$nqsjnl(ydDr=^FSC_FtX zV@UsiWy7Bux;Vp_+V++C+>I0yUo4h(flAf(`WU|gH;y-?+LF9I2?)j1s54XI)c{O7 z1;Ug5*gU*?dT<(D@cz1rqZk4DyVJKj^KVV-o;dFE9c;*S8Td5ZSofj7*;Dki=Z8d= ze`k1L%#4wCR3j`Jl{HpK!XxQq>!P5*ZzVOg^*2;@Snj8yWqu1m#5k}%E+>0u0pBW! zzFP8ihhxozvp!vkLo4_S+~Pyz5r>92hw!6%*3!@Sx^4kkCHIA-TKr)<6P62gr?nkXQxC_$^sF? zJZ_~b%BzGkD?4F^mVjk72plVVIQca%=reZkUm2MSv{`K#Vivn{J@rzQg%LmvyW(0y z9b2**)yLkyZ@#J{n_MTu{^{qmZ8o`gz-TgiDN6Fw^to}?A`s6XJP`V5Q1+2-PI)X2 zA<0>{CvhQM_nMPvudRfMlP*yGBOU^A>#yM1-*p}?sbkob&b#0F;5rw^T2L_>bCarI zdtpMuDHne5ab{7SJ@f@nbi%-#PRRC$HDC$9!~_C%nr`%w(N?{ZC`DiCo?;^qvDl_H9j@G-(q zPZ}+=LJN#z*{^a8KSg=xS8>bcuYYxkat<;PX(AiP6L#DVE329$ja)9H6`*&Z%v;Pq zlkSCnlwp_q=7)!uOzS}5>CS@FSs&Js*v@r-@>8$Ye$Fd`d&zU$%cXEQ zap3V7_$_vK*0Qm(PBcPZf=gGk1--4CeAcwU9Y6Xw(~rg#IWMYg$1nY1Pfx!^_cQx|j+yieQdIu&W- zPN#5U(j@3Q@PG#3=^OHw*n(VAt87=WLnd@{%Jaq7Rk3N8!kPk^X6*bX_YZ~|t+d>g zTy$rz=nIm%ZucKo94?018P zx$QhWZpb?x_9b_oe+pW+q&x1y1%L?w4s{D?t&xcrc#TfwLA7Ft6=aS#z_MW~laH^q zo`$~fXa(H3YLO}0m>|3)3%BkEPy1T(DilOegibMbnM~#9TBFVER|{cptl5d~_lZuI z#+u(87~9u+t{b`|zXU}Zt~TV3h}4hl z$EoQlSd@ivYOM|oU_qS&~OS{E7hD97KdQMONqf5O#uByt@g zvR1CeG3AvtBFO&5PljwHX@r=7kg@=mY_CZps)c~E)joHt1lpXHUBJk+I9G%~?j-gR;Y2FlETTwM=v)p36#Gp`F_J!9>I0!mE6W66(;0fJKA;}53>K`|B z@8E3|q|Y|#>zx;!H?f{eph7d)q}98DJ2LaF4g-G;P0>rZGaBj2CbOySfDQn{XamX- zA|9Zw0>hYzKN!?R;2-$l%7_{V>dw&Ez7p#*>?&~hTa*~hQrGJ}eFCX?HiMC_Dr1U9 z+s-S#bbqK$V>cGLn(fTYyc9M$5b||mZ|U5QH>PI2OtS!~zgCJoiQqDhpYDWD%<6FK z?(7CjH>A;C=RBa7IIf=KvJ6;|3Z<^#vGPIVt)I92OvaEIjAFFHDoks!P#qR9q{r`=oSG|YzKLg?s*0>@$;SgO zff?(^raRvqgH^HR2l>ze`GjSWg|@}wYi3)B*D{-8-#DN23k->w#yTl`K@RG$^%dNq=${Ox zJOpU?q^}#q*$POF9@@DulSdR-u0*I_Qsk;Rk-h&8@E;P>iP`oRtE zjaD;sO8@gHxgdzZKKf6!d`VwsPAlO8R-bIg2 z)F`VYQKR0x@4e^V-?{VGoagyGb7sz*^UU|mpzFSCwJZf`u15Sjf3y;XA_6Cfk`yZA z1I-DZ?c3?UCQQCz-0galMp)h%s_3>-7Rq79(O3oQL_@I(6}YmcBVn+^5By%7Lbrxp zq>1c6Zyu}P>y~inqHwdlaQ!ntz8q_5g_qedw9bisFcW5-Bwsv6FZ%STADZN9l93=K z62p9U^$8L+RivwTSBlH>z}bHP=q#nJSuZR0`7@_ zTnNhVOqFT2{btZ%<~Z}2oAs5HN)#ps_Qc#{7|>79&zoRBwFeyXZ>*+K9Z%yIqHuq1 z)rM;WbR%m%MB7@rta0FB0};w2**{!6NEgX`InFu<=*;Fl-#PLl;4g9uZ{1K45HMwl z^%7tT6cZhnwU#rj@8Z1LxoSBs@5nQ!{N~F!Jw-D`Z8X{-#w-A=TqU=9zMMb#gjBX^Hw)viuid!ToJk6o{|UI1P$!nMdsksM3dJdA5>mz z0P?-uRNzL&Eh*Os_ye`4U$1&+CNE&Yp97y6H;GTkftLjneZr1AI9I)bjykDq3#gfw zH+_zie_1?%N-L%OqAppNpr-1mNd7wNywJK-xUfBuYpRCVWL$D-AlMN|S2oj~^Y;^I zm-&=c`nykGE&N&GQ&#%N0|oAo88F_|9TOf4;vUCqna3Fn*YzS|q280-&D6lM)flvZ4X(tO#lGU@v&OjZsRW0-*W5 z%r&tu7BHy0DcGmSATz7B1FLKP?2n5_=aUUak8>Rm@P_m|wR5V!_yP8>FH35%BCo%_ znZh0Cd8nIL*qtxK_ny~@%~ZkYJg>1WE#X69-b>n_MPQR-_18M&*8@Msy~~*=)oFAM zK9Pg#I%d`V5Q}0+N5Pajp;%UeJ5_Jqkx|>SC*oFY)w`~z0kG}J!3~cQMhgN~*ZLTr z*mitgR-`CmOyl(@}C0xa`DcK1;SRuE+PpN zqH==#3Zour0fw`tKTly!p{v93zf#Pnc%b~vv$65Q*B=r$e5J|Sa_9G=Xzqy>PE`}gC60Geq#q;Fgk#n(=lc>1IHiIKOq`o6IP2tP93ctgSv1a8!}v(JG9-lbb-P zGjndv-G+Za?5wXnOh*zZEX=adY^)96%(kLrF*aw4X95Cc=!>E=4aFV`uS|T*q+}UD z6!UhdRBcvL(kHo9Mb{)k-ui85kS0QeQ@>c6kL16NuHhHv5j@;|e0wr2@ykO1top9I zsKZTdffvr5QMA?rKrsJGj)2tU3jx2VWcTEeK^^jBGIAwHvBE+T!;-gJ;B2rA#w@OI zm9MElIKSXFsHm9?1WZ~V>Iul1kk|T+5V1H+69vkmi{IAF`(-4fZ=y)1XoP1c8IJ-bEE~4-OSIjk`SwY|HSz=#FS|= zEm>UoRuZ*R?^HuTj+V{Eapvac90#&{%+!vV={Dc}EYS*ncCZ2$Q%`TuXP`BtIMog1_TP$S=WP%IWM!2zh*B@deP*#OI3rRLv-MFE_j0xvd^r)@I zjE>ToykH9eG*+kMQqclbo;F$AE$tQ~E?@z+b7iv$ubiB8MYGp762`aP{mkqb23%9- zXBo>5w_8p-O{Bvb0lYFbJ4e0xZ*&PYV15GiF7x2A6LLE^ys+6BZG-6F=+!U%Tc8;9 zOo{7QvO9C~OK6x2hF8gt9{yN0Rk}Uq45*WDE>jcJtN&hG{sAY~-~P!`@~mY~N3Rx5 z-7Z50Qkb;$Zg!TsI|-@qLOwA~)`~)-kp}Kw@;`dz>jTMh*Vty~4Yc2%keNdEGXQ3P{yU;-xcB`g5^Y005+Nfa1wqJ#~aGVWIdF!5LM{6ht zsv$4GxFtHY+)=hoJiqg&^nh$M+~J(!MamKIu&GC#XELHEbdec&(hOF)?jtHWs3Ng= z*`gBm%Z=)Nt3}9!MESz({(g{i54+N(?4|u-*iVCJ^p%HzGloy&54>vyoX736G(QBL zS-Bpl|My?*!-^p$Z7+Qc2cfCh`4HB2-g8RS;VrFK$mNqR%)ElvD;H}k#-LVlN$T@p zOAx;l%=Qarw(dG2J=dD6YUF2e{Bsu0__wqkRcg%>7Kek%HVLjEv<;hXlTc>^{Frb$ zHGG~j<;w^3Y^b28ap-yfs2eNCb!7w6J`tqLDZiy6;|JnOhrQ9~McsIxiO7k^$VcvI zSwTrt7SvL`dS)7~P3`z)j1G!ipD@sIVix$-I-goGUEF6hmi3-kDq00TsE!B`v<*47 zWPbCp+~(wTB0^MaAsY3vR`{FezD)AA)1ScaamlLY)%(nTT?rrduO6>_5l7wEt9bzR zc|%Q=yZ1-nlYZ;CYrWWGawWu$X-^R06l$~RI^|nyb3}Y*_q(v}i>^W4_tX2~%NC^F zKEh{2oG*eWFb;Tv0x34ls%fMkjwMXx04*B>y+Ak?xM5t1W-10xpzGlX`1jB513^2b zX6l{49PIb#DE(Y$ACHV$KXusuD7wTF8K$!lx*Jo{N_vsBZMMPiA{Ehmi0$z!rzK?I zpnk7^ID%AtO84&VN+l;iO0_QCs(B#BNIL7V{Tph=YPT}KGJEJO2BlFGw(y}njB^!A zzKPtUk!B5s@5F4PSMet|*hd?%Z7h~TJYR;h1753Wwk9w<^K_PBZ@U?+BMrJj^|c1` zfjKjiM$7Si($uUhDF~PJP&h4%-KzJJ`o4U zG~6Z~fH&+FU-vH9--T!9$d>yiCofHOVyIWVP0mBHfMUVUR784=grgiAwUB{DTqQ^6 z{JOo7Hz++;BY~dD2}PcnQvep@(MWIDWOJ|jEFn(&4`?4(C~=h(G~%ddN~sA4+8CyF zk7k(YneuAFd3bPXd1>7`NFMDiYTvrf7NA@PY5#3)ilhcP#npilq#1)ZKJ^X-O?@#R z7BK71B#1CzoNAmlOEb=5W~ZTl`yy6JEaAJT-B^oB`jETlgX|08{rm!)#z{q->&Rs0 ze9Q6dn^Ir_-{0`{fdVJq1xT`>xG`3ki2mLbCHda~0kN|NY3F0R`FAzahfH)0F8J!( z9Pg!!We!fVwlw>+a&sBDO55sPC@)9eRZH)8K{O8sqJR?(jZxdmD}w%KFKGx_6#8Pv}V zBobW#jg^%~1wX%+=bi|){HFbo@z{E_R`oh1@VqwFl(d6O)h(*)SqC@nn%24CswI>& zkC$=KWXPDDrQSEgz6h!}k_*_rAbODH!m;ReMe?t@v*&WWL6LIB7F%|ch)4n5!wW)u zH(pf$M5u3Fk&75t)WL~!6`tY)EFJ=Gg)+{rAB$zp-gjD_ZhO|BGJd%)e}C31ew@U3 zB=eU%6x#zu@14ARl%^VUP50d`i8HYi zei?DC(NauL$Ims9R;XTRKb2rVb=2+1y^);#wCAW1BvAnj5*=DD6#{x`d=tp=XLI9T zH&3beY9HZiAl-oHhm1{>PAkdzYV`928Lgv&WKH%a$%GmFoyEkfB3=Dy-j1sX7FawJ4%f& zB~ZV!M~1<#juwy*=+z9JcxG4pQrcz5vKr?u`D;@w6;RVBvWkS(i#P9>ZuP;DE&~i# zzm}i1;uqq0U0wRynp`&yScWhtm^U5&(s-1OQO0&*27Jjb(RV!t<1}qk&CGKtSm)2- z+9p(6UcRSG?XPTOG@q8=50zqF`!h&~+kfEMvE<&8~Jz-m6VQLFOPkKtxAB?N!R0lR<=EeJ2%mAF9%tB`bc z&Z+WJzfJT>S82+%$RDdibrkx#S84{LoCq;7IN4%PFjhlFG4IP>p5N19Ok48uLsq6j z;`2$g?`lv@GLe^94!P@#>m?W+<#w-HuC9kSQH3CVm4A22KQJt#JyMn1-4gY=GiGL4 zTzj8!#zx_lo}*JW8nGhzTp-bz;G(zoPC)SsmOjUPK&h)d;Ioo`oXHv+U23Z9MMuei z#@BkyO<1A~Xv#xDom4vM$%b>rsUm8eX@fF$XWIbAsUk~e6eDb)%aM`3iggfF<`hs$ z%~}M{ygqj)1-dvm*T9x;Rn%u0Lw+wcPhuh@z3}XJO;7Ruh-By2)~Uj;Iz7zXMH*yL zFq)rMvCCj^#yG8BIfeB~;mkKRo95o4Mct-131!^n7M^PEX+8E%W%Cn)DCD_HM#B3E ztHzLrUFI7h*dh7SSdLAV7Z&A~^AdK)xVyL1tyS378*dHu9gme*LR_R|Tf^qKH+a7a z-_Ip}A~KrjhLB{|JLo2%GO0RrCUpYs`3&cUhe2(VH$rUWeWPt{ajeAf_=CoVGW?yQ z28mivdVAX5&vGlp2~Ox{k?4Z$8;2E1Nus%?$|vHWfj*Q-&W)`O(Yq4oBnBWXw<)f+S|ktG?m6&9IL1lt&KYT&3hZj zP#je+BqAwJ&K{iBU})}N=U?T-Vq0^g%WDlZi^?7oMC@IR!+pEDl)sbUd{w$AIo{0s z&J%TP8)~VVB|vLuv)_{HMHLW{&e|CAMz=K4Ce|Wo>-t+u{emjO-l^@q64Qp{Es$qp zlLGB@k4lo34;U^QL&IR@v7eqk<0mSPXEbD4s*>5FAG{I{j-yvOg)>?E5G*66vN_gv zeV5KFZE|-!(Vpbe#Zs9ue6&J+y)Q;HMz?IE&p)C2Ub-s>>E9vyLP}7=roS0o2yf5= zN#w-@n6&2~&{$ z&$5YC(u}wV4VSz_j6zpR%5nVPa)5}4h3G%6m;v3&z=md(5+-qB{p$kJ52b({{|eN< zT|3djv62wO65h-r=|D8;66kUf$32mCLxCNzcc5|nLDQ)*^k=Si4x${pWy;urbrP;BGb9!Ak4fPgJQAwfyVlq z?H3#>fkGKS10*_xt4?O0di;uT?oAz4_t>J08dglxu7#c=g7*@l?f8c%J|&B=2RaYI z?=Q#vs?Gx{W|kBHPEdVwbVqDQy>&Xq5n3N4jsdjR*MeXG&`(1uuZ(o#o5^>Oln?T3+yRbMCxTiYO z4C&GtMpki9A@OSjO*Roms_;wVqR;t1H9FrM;V4+Yl&z&HPYgmcI%X%bEE!99O3*yv z%PK{vj(b+MoVQ|iI=P9(Z@2;ni*!E!X6f(r(KPEpMxrHSAz$olDI%UN*xTyOFY7<< znTkoK3cPN-dszBN8mP}_IOtz!Ev{`KqJnOD9p9~6QYUg{6?GNgRaS?Vm)MZcY#4>j)YitsU~4R{X|d?k^&cv>o^Sn#+FP zwC(RD2v;dBh5F6<7pKnU%NM?K(oH;X%zf= zzMw#Ew6`#$-^qApz0Y_}d^L)BzWcu6HRXyH@}~tZgc=W81$42QB#u;(BY!N1 z(Lil!pnko`Slo5sS5KdtEoD3H#3ZWnicpZ9zddjOcozget>K;^kwcBJFn%N=_8%bh1)KDW!xv+$ z_BXL3;{=ose1oHz*l3(Omyz&eT;6?!!K4%Nsu2F!O#DmCjK-G&IVO@Y6d?w2_F2x$S|$3{x8dHtDUxZniKe?v5a$ z%U_$mNqqE2ZXEj$ZDa^6oCJB+ZW^Cl(tjjXBcOBuQiJpR57FsY9LS$IocVRyZ_Q%q zB15_r40vfv4ZV`oc&}T%?*3Y$*|vC`v_x zx3*GsqJEPYE}hOp;W-P+u2P)YGe$oq}bE}>*= zHctBRrEdy^`^W{Nne?M1egh3V7an%F}@_S6nS)xEV^(aZ4BR&qF|oUA;mwOxY>Uk`Y-v`dQi3bgxuN2aJ!P`s<`uH1v!D zfsmO(RdBP56h%Z#X4!EF3+froWs}Nmv&@v)-Huym4Qi#%KFHomDFBXbuU%&ub$b(Mrjxs1mNKd&g=1O`)rH z8|mi+6@K-~o`Z-n^|92@yyud}XAx7=L_U92U;e*Nf9*<`e!5;mmlwbR_IzGHGg8 zyLGCHWVgr!Wza}UlH-}%_WqVqu+(TS0I6=~hTq&+Zf_Q`bt&Y#Zy%Fk!?%|N0;^+l zdRM7A9!gAcSq0QozZx^G5ezr;emD1Id@?4(>Muho^Nk%+q~`e7lCaA<$NaDv^GLM? zYa8b_)y+7AccJUSG@bHGSYX)pYgm;vtRybAc0&v6Zc4^A4(p+Fn{>8<%()ARSH6RN zx@;*m*V_p?I0o_lG*#bz@;#VwjU6Y-XV)9^qKjH_HCX8vW{(?M z0vLIOb4wa}*sRQ3&)DlTDS~fSh!b1f5orH>iHa?za;Oi5_T^q=iz2LF=V*a$+@RKu zH=_5@^Of;detblF?zT{^jp+Hd1@Of)%7?SNwP|QViS0!~TJcuS_1lqapSzRZ+eb@W z{y!~LfJNy!*B=ZUH7T-~{rizWwM9PTj#xE;4UQL>C>EP?589dG2*qjde8cJw8?s+3 z^C*<|uT;j5RPHTK%q|qnte`j>8H2@18IEvS4vYS!@?Tp90+qOm(o~3|)%HS9!_6x9 zD6^*h`f&g5WWIE3TVJyG$MB?9#n{elg-<2S+kWHXC>Ul^%bq2M@FdbH(X8uxUjb@Y zz%T?4yY31)3Dih0ET`%T* zrMusOZ>w<7K5ub3`@?oK`4~MRwsayBC@?GNc{?1}tEceRLW#~>%&4O^P{7Ls7g+Gk zMds2X6^MDS3NP9tm_iO&bxau48w|p>QJG4VSS`Do^(qC zxfZ~Eoo@$(c373r-aN2q$mP3LUTN1Kw1dm>2}vFydc7Bu!Pvn_SqS;T9c}KjREpEP z2G~4`=n=mh`tSw)7_J?rR9NQIhvz~bOnuSp_UP@|J6qFe8l(i7ZK{;>(6(L&p&pjQ z`z=liS{Y|uk!ebT@vx;SxRR={XVZ%_ps0t~NQ*briGJteZm$Jj59^o0V`2Dw+FqXP zd4*G@j(~=Tn6n#fNwt7((z%^Zf?l+h)0I81yFdfe!Q{&pu6yH4&gTVMj3YDEI*@oy zG3e0O%^26bJ0x~kv?5S{bWUmlsL;uS@)8`7XiH)ka_nm?G`&sUWr`OVv@yW3yI<;aj|@nI=Z5yI|vON;G)5% zi!}qanr2URPqK_Vx_i;Zw>R=Ujz2WT%2vpEbVlzR87-WjAA{?u8b~H=5g!W@h06A@ zjO*qI$LVS632smluM;1i*ctvMJ0*I8`xyR$vscw3K zk*Dka(Hm|C#BT>}d)`sOT)FD!>cb9F6Q}IWaOf2sHRo?L@+5|85%}gw_iq5j?_sH%CvAQ|(8Tcjla4uihWk9;U zG~S&GwFQ!u>l-ItlpJiSF=Fk@i-EQg#F35rQC&uuE_qSAA?d+=jE@$_p_Xhd&!1os z1`{EMPiw@)KbJj)x7*eVf5nJyB=k#4w^S6zeQ1x?db&YelDn7H;!2a<*YYFxt*FkD zG}wI5E$`jSua-GC`>?lZ9rYL^eao3%@KFQt31Twj3#o~M<2#Dy^l2;ovLD5N_(hek z-z!?gzP9(aq1@1=E>=>r1kt~1N99dbQ<~KQ1IdLkc49&y)-$w{NM1L8;KfR(j{R>t z5GboZ=120M-Zcm56*8&!b40Y19wB9+3`@$=hs*<9yNO~miY_RHW)$Lm9dg0mzdB6T zHli9yT@xI_l}Z#1m$UHo?qzGS7>Cj@CTiK0F|;pbuBZ}Ok$%Zm9FHF z#J2q1HAMzheD9jUxiF?_&h?=`hJdIkRb3s4wk_|{LSeP=7)uzm#@s^FBZc*!+en^l zZVa1-mTt}5J*55SQ!VH}LH>;1PhlLOv24YCgETmj@-=uzQ4^rE|p; zVrnSJS5?=5J{XNVYK&{drrc6TIOKhW$pjPlhk1yHoN{5^g@t-go3EP|{ z8GGeh&6mUC#8YK_(#|zBKKv}EGnr;t*^gM7e8BSpvY*A`70gV&d_I=aSNp0%O5=6= zN8K20(G6x**VX5>jEcrCpDY`sh<~*r9m`H4{F&YUVDeZI(KNNfS zz0r^i{#>~4m$_}?d`lT;oRv7It8gw#RYleE#R2|nI!2lX)?8w}kKQcP1qEy8u9+%U ztAo|oMR=OP=q=k>DC-)T!KkNm$jyz$xNi53l^?6z&C-v! zrQ-Zwh|V$m)xIoyY!7k%z40of6=BjX>$!}sUFKN2Z6pRxj_)7rc?{$7`KnUfOkdYN zug!k3aLxrX4EcB>&%Sg<-+U*NX9Zmr-aYULU&>lz8!cUs96YwF!wNJDlzg~{HZzJT zA4{)R&JXseo#(7Y3R=jC7?dqu9_5j}X`8M(@$p6D4eeGRmrwG2Z2f|Feq6A6j0F6X zqp(*6sCpW6xVSKoD_Xq}Q2%$Fhp1!+w8(*&h2xWBI~`J7BhYunm#yHOrbk)m`@reM zSt%Um6tBZXF9C5=2EG%YO@l=r1j#V083 zIQvwy*UVVd(LCgYL4$U94|Gsn!!rgx#- z>9>()Anjpwv-|WLySpYoA6*$-lx^uLZ^|aINtapU-GbfOeZmxmohX}wlRmnThQ25R zc>2=a1iP3y+?i1ihYl6L8x5kkB}buBRaxKK9}yh>8t0@gK3L|!SZkwd+IwwewQ(2q zI!4M$;_AJ|q0Mw=a?FP1sCbQ3;l9Cx+M_R_R+tT9=M!4PUH=BUQGk~K z_kWg1(hz-&fs{muJVtEFBt(q0DuPY-=`#nRY(QXmNun_5}Xnw1ZMcR3H@75 zFhKtwy{7vY>J<_s(Pahz{9XupdHcUWc%>9UK=l8&2>rK(x)cE@7yUoKzy<>VNXG;K n$p2dmuut)T%3}WENvVSxU{IxC3(H{se4L^r%!#Wg_z(CWAVnX@ diff --git a/01_Matlab/BLDCmotorControl_FOC_R2017b_fixdt.slx b/01_Matlab/BLDCmotorControl_FOC_R2017b_fixdt.slx index fae0bc21ede91ce88a8cb65fbd2a8863583b6a6c..a7b9dee6f18f46ce7533003404fbc70b52d9de2a 100644 GIT binary patch delta 126644 zcmV)QK(xP(?hEgt3>#2O0|XQR000O8C|j{ku{S`Z*8u;TQ_F7K zFc7@^D+t{!N^+3Yf~^2?;!}(kse%-}(d1epB0fOUar{aBjec2Iw5_T^P=E(%cQ~`0 z-Cf+itB$;Z^U~;LlBZchKyxFcZkEY&y`Fwah!0E)))@`U2gc+~ zDfmEX_pXZsf>Y=~fexPLX-@xQl?+DIVniY)1*Zea{S4$dswb`Y_kwjcz)2S*4<0~#Fb)zZmiW$e+( zrVZrxFH&Urd|G5hMjl!e(|fF8{qXc0>mAqF+t@K5HaL(w3F7Wp!4BL$n)x9ySgOvk z|9Xn@d4Z?S=Veip`E2Crg&@j1c$58dR5)hE)jTQ>u^w<H!MQU;i|w4gdfqOtS(6V+?;dM&>w6_*^KMQb%T9aUl7Gj)e5N9(@YW({Q~B zZ+7#;&4_i&%x=wX=+(9A8)Tqk=j4{+i4xrI!r!=q=tOXg9FVJ%QspdQ>Gsn zL|2eeV+^EgJ(=-2;89(ThIk36shX*nve4A)JjeoY*Nn&KLL}WV)O$SP{U9&e32qGRT8YBgc* zf%Pu_h=lq;Os#P*So9e`s@mMJbTXg`LDy;uO8#w~3t$2bu_fjOLlA9Y;AWF=`6{rl z@u|LdMz?N@)5|aMsGVBphe3aKYP<;4H*F3M>6+0Qc$YT4XQAIg=8%c0qGYH$SR}&J zk#@(@87)6<)l4A0r)ns)VSy)tDTYYkY;E?Y^5hf}l(F`Ln+$C31pAGWs5|^a0NTv7 zsC;(SDc}jxk?!TGi|9S&n3~a^q=^Zag)H*Xs`GDpvk#F{pYrCZz4L!s4G;NUN!!4T z;%{P+>b(?MLL;mZ=bZrWT-`X~)G|t!8tKg5Blc$w>W1EG##NAXZ}puwxm{S}a=M$J zBFW27-+%vNYDrD-3Z7HqTh$2vPij(s_PKHI@e2$Oz!++c?6p3FeH;uYlB$VtZyXgb zy@w+H-T{BMEK=iUn~h{fhRcw+Znv9kl%PeFBYDH8Wjy>({lCshLOh!1dE~2~$sg*v zD5<>HjZtaaT@l;(V9z!MptYAIcRZ|vkt%rDbq97zEj|#XZ(Uy{V@V=v51;uG5&PBg z$0YJU<@5OOeEkozz7jJ93pw)$Kq#C60B8#lll&JTf9rPJII=MMf1ZNd{q0`eGq%P1 z#Yy{H@+F;o+m1c9lXTCVUS6~WN!+1GHA%&G&zZf>vz$jePjXP;T_Q+8CS}>JOiv<- z00>kS>V`tq+yDJAb%k#z2p!-1X-C=@cLd}O{GsEGf7+Qxqdjxye;@wk?YTch?gww= z2U9!3e@%tY#V&_`5rnu2e8QhEg^%_W{j_s!e?!CLi5=L32nDCfz&nTcjyLr0!#zpX zeI3yN>sD|LCOHJ|4Ade_YC_a`mWj>(Y=#0EGQPgyEj_{b|Sb#|}mt zhF7+-GAuAsVdrNZhW;E=<<8+hB??W|66&arS%YwaTMSsp*=K9$Q9hlqF+Qia~iW|Wne7*ETr?kQWW?VamXJ{1Bx_xnmn8ecde~uR^ z^3I_~eam~~j3*I$drZohZ7#OA%3$rqK&u1v>BG$R0~8$DOK?`J;k?*`56n{24EsS~ zKNcrAbRs`UC>!`qaVq3l{OANTNiUH*e^tw~N>-gOO)EWLf%X>`i|+B*Fu4T+NoRWF z&(6>{ zyci5wlJZ=;Ofw8omSjWMEX`0=y@ph%7}E`7-;fkVGZaxYO^h@}*7gm>P<2I;byHRJ z6*rmrQc!4Ns(r3arbvcqsFq>KD*nH2@MBQYjD6G4O~ue;)07oU$Lf+L?Mo`=mb$FV zswFB`GYa4Ki1e(J_n^%jfBVy!?*T*>MZQ%n@=KlQLcapBKc9U%l@H+cD2N_Ec;O5I z6lq$`5?<4uLSt8c#V$7Cj&0Yyvj<qq`v!c0t<_sJo{hv+TuK%sNJ;uiA z*Z$r3=#S+0|AyZ&{2v$L^N9xXkw$-ZVy`ylyPNf{;XI%}`^dG1!Y(iG@r7?0y8!RcJo3T4H-MkQvNH;} zB5{NvY>|f9(3#6_@)!c+BlbCFh{qE&_!`cqm_=c$0JABn$akpSvNx>SKk$e10agM) z*$4;rtl&uMe^o8v?XmBTobkEs*<%!>KC7koe3}h08+`ACST;TG9G1R*#5P}0H90&f zXXK#a(c?SfHr+Y=&+pyn4M6$(arCCH3zk2Kxzp9nm$V-^5i!S}&M>F9Owp80OQ*aC zxrqxmeUG%Cz3GED2oSckqXP+RC8hVi?bSf+ZEUMkf5CW-XXYZ9-1|#%U15V5@vfvE>&#@BjxaZTrJ-PUt6lu5q!SJSVDe zb%H`?f9ze^-na?OzCQ~uJhCdvsgiui541*I5FM=TosI&ZR2afGUc-IYMosrh? z^Z^aX%y82PJ&+YO5;-3H53;+;`>bYB;oNqee?Jgb)e%ho>gK4f{ka`{ozG}3j$Et^ z!$-0=oEdexq+!mxnVMrN90bk`mUJBjcrP&ZS_F4fryJjQk8CRSg8DoUYZ@fJ>=+*z z8>18LySX<=kn9mtiQY6B8gH_%pFB)r=XSU_@Y`M$$9_v}LP895R-QG9Pi{P5XKp0{ zf2Sk^M?`2C@1yX`1bNAs(TxvBHq>QY+L)IEo5FL~sv3NpPw!B0F}jY>EG+wQ^XYo_ zES&h!(R?(*(8jaD4J%cOwB-l9iGpv$DO}kueJeR5O8SIlyRRUi3OnsQ90RyKRJqu| zAJqc`?}0CV@HL#-19a`rg8^=Q-oH8Pe;;K(S9Rl% z*;CBiRvhibpW2QGJEj2!i#smvNTgNHUl{fr87$j(i*H%va`02dCavW}Z+kTD<}I8c z1YYb-s8FvX`2EO^29sFjq3YqJ;i(5fJDG!+qW&d~Zvtmb9P3z0dh=>Ms?fAan{*j)puQD(fD8Jc0;ztDa<1=G#Uu_`l~ZL@$Ws1f9GMjSM576f5$Uw z7#koMd)#7c>KG*Bk{z?Oci*0RSgk`;3+84{b|{7%2F2&$?bLqQ{ro%pb9*kOpML4@ z+PAZb-5-9#oO$=|cIen+ytVPC5%9?`$o?9C4Uv0^+Z`RxgWw$5;qG8``(Jlw&J;7n z2)+#P0|@AW&(83_f1Kps8Tt43$kgIlbdfAD5Eha8 z_X>gHfE8uBoCio4g1ZarZ`gQo211m)K==V~0{s3devT#JkJSO2v{&}ShIitn2v`F}V=OQp z1;6Z;W_SVkA6Hou{)ur-nAr#R%uoDJD4O`ghIfA~fBRdCjtU~5!I=q+6Bcvieyu;x zUovfTfi-iTffHf9HzE*;HNTReY9z|Hv6p7qiIfK5l8D<~LERN36{77-s}%T??;k7- zXrlEB&9Gt!pLY(!gp~IZm(G4Lt7NX&dVKGUCtqy9pB;P!4bUtFuf8Q)S|ESNDu4eD zV7ckP z06gHEivZIiYeR@RUO%P@>Huz;0aIt&>QqiKkQ>eQ| z!JEXuRHR7;>=t7w#;PI$3@B=`*%Ccq#Rkl)r1#zJ)Q_+-m{5T!i;K2xC8bM-3DNVW ze**Y6H2AzcMK+SJNBrQ?_UO&wxF|b41zHt6;^AJ3M=R|S7P!O;9G29W0CL#K?6A=! zGlPw$$m@qqY(&e?0leVWA=+~eIE4dnT254&{tQGF1Fk0SwmZPx-A-)R?SKE>L;p<< zJe31@W=?gw_-s_CJ|FOoa=20NQY^zwf0uKj)0JlT~ zqc{CI;N}n?OM%{~*9t1&X65u|h|flEH4)(*!|kX*Z`4@+Ww;q~PH%?#Z1iS658O_U zA8H$J&Zv8PR2gIxjMT&zBoUZ6W~drq_K%r(|{YIf0`;2 z@Wo#=vjzBpZz;BA7fpmxv!xi&Z|%VZT~q;J7UN$%{YXn`l>m`%u^Nf~)dA`60KFf=ubpa(7(>EZ?>XA$O( z7fCg;>&hE~qiE;wo$D{%FImM|f6x)m@?9nBj7k^5nntju1KPAjU%@7$ZR9)*qaUPQ zN#9j}ToHX8ph3;I4ij%9TSwRPtz*0(9K4dPqvbeb+Bq@KEF;C4At_s&tr=%Vjx#2e zKgOAy;Y?SzID0zImi*f9MCtZ4jFM2MFhi1DqesNvZDq;3O~s|8fi`Wze_6(!q*(Z~ zWh~u)T>+b%e&$kNs;`-}TJ|@WIv0J;GG3Jh3a&^_Xe!pl?02rlS*5otN7QmyRW%kQ z5w8D(%SKY*Q}#SCGcKI8P3t}OZ;Di;LYUZ-;Vh2|NS;)oL5 zPtiq)FR9c{7rHlSf8R^(>usu~=8U%0Qojsthre80G2qsl%>ii3X4q2GzDw?%gxC1n z$qnmt7EHc7-**v}I=M&(VsYY#_vBsK54oRLsZ#q(6D&}HtP_P6vqjk?3M~&??1&8d zQ4~0L^9Y6U{rLFiE_l?PpGbOwU&Mtp2(BIYc=81LIFsz~rDA zf0dxeYQj?5tBU4JYJwj?MvB(uf0_GZ@dEc>lAO)Mox=cyXfdf*)k`rkJGL8-P?caW zXu`keFql+7tePubRu@{I zgm5H|wWjYJuWq)zy0`OAjjp#Z&J0-7>N9)Wqv4hZ>C2I1OHEUxo7_l;^T#%kPPYSS zNUzcCTSfYEU|B`u3@#)mwnhg`1)<2#O(+ZwgOpGVe{Mq2rFIF02^}Rusj;?OB^0{X zpd~_)o|{l)76mA#6g*i*N-5!rhTV4NFR@cP-j%D&pC-Fnnm>bMDxJTw()?*Mqb2%b z)kDa1c;*lKXx;9h&HrtWa>n;GcfNd^D!;eKwmV4D59GQtt5?72$Z655uPCWkU#$vm zDD};~fBL#yz3n#Et1lU3g(RL3L&;L8{;-bS`U;O*UyJKy>@E=a#Q^YT>96@P0nZ&ft7tvVZ9hzUbzn7@G`$A-y}y{ z!2ZbDtyrg8SDfD}3`QZzycDN8`3DO8y*oQZe?vxBJFG0uTz=@1dQ3ljLjyvKH$Gm* za>Qr#+b?EGW=ir+_(lLM`~VcrHiR>P^nw4B1d0?awU3=JkR&jqyGrS8$6(o~vH^cR}vCvoKb4I<@a5^15Zth`7WEV>z=b2B}B`P<+A#@Lwa?4>^#%%|0H zfA}ztV28rU3BpKlVCd%YvUt2}2Yjg^Ll>^lysS45CH-tWSwQ9Z_I-ZYSminTktA{s z4stU-u;pbN48m`1EWWKaE@@N@n^6hy#to#9yPQIJ4yR%YA;}jAg;+JNiAoA# zkjiVN5C)3vnnE^-k1Ss6Dv9NsWVp`Le+WO3Eo^pb8cDanNiuX-9$is$`Z2%`AY9l|l8EI&0> zt2{rYIV~bg0uKj4m{gU~VX6PRPEETqdN&5MogA+!%qMM$TT>P5&bnH1%Q8=2f93P) zr_<;4%JNO0Me|6e&*#)nr%!{=x{qn`Y6`k%GPUWU-y;a~xeUA2O%YuRTF;XZj3I&2*RYoxG)_)$Q~pj+0x97yR0a z^UrJ0Wa?4lc}lFxLuA~4oLn5=fBbrRD!jirKNBuL9i4qR7QX*|?niI-dc7~oaj$oB za}qyQ_r+fC^ke)qX*7wV*+H*&e}BJ!uk8E5xOa2ayE;AIn?zGr6?;;e|H5-XD|y$c^k-= zP2iXa=j1t;BBo)C9oh zJZJfNN5KHfZI+Qfx!_lf1yHMwK$p$~By6u|_)7odz4NC*6fTJl&JWB18t#DZYVf>2_|C9of;XF0>W9uZ5 z5u97YMSSXg&q^JMEyO>bV_d!i3x-xM`z&SMO1{ft1_q7c@UU>#fq(!`10m6ZT$MJ1 z3JARC#r97FpXia@WAGNY>CkTnefd=tjpw{hRnsfl@nvn^f5Tjn#i_7f^f3@rS6*}~ zcJi!G8qZmo8lR!2*pW@bk>x~@~M8RTXTalWftB=$>A*qOw|*Rg!GSj_k5f%w{Z zRO40T0H!=6YpMjprF_ih8dyOGxd{ts0IR;4p6bYh~>Q1jJnGZvV1aA-} zw!g0@HW-`4cxOaBUjP67w>=hPwxb}*O$m>?zIUR*ezk!9Yb-*z_E7@=d$oWKM4#>?&Dq&|8mvnW2_lB@pEc2Ge5oMt2Ph0lVvMA6Z3v8MFtI%kxuNhwNU~qVM==Z+1fC~Z ziO%D|f76KD4sw|3Bv?<~ChMt#|5DW5(8(|4=sd1BT}@M!=mvkP5>wrzR3%Ra;bnln zP$fnyIq)HKfE z6m6wz;e69)4?xs-V}mqplL8Pm{`4P^>-Rb1e-AaT_(M(K)c8ZKHpr@eX6ueW)Oh0$ zwc0!_>yAIvn8R#KRMYMB+2ap2-XLeq+^G0NjW@MHjIZQ+xJoU>w<~bY79FuN2bXQ2?iUdp$#FSg4Sb+r$m!FS2Y7lo;L0 z@Dey7*P#YBF`_GLb(Z=TGdWfBr`&hCX|b9tb#uy#Ere>CR03&Cj|0hUb1d@Q%G@K@ zG8M>=v!`kzZz5+pl1j}tFj{jl*tO(hf8$Anl|WEcG6-sXPBwj|tK%;=nNx);jwf$c z0&XKGSgw*HD?8&}P)?`*U6@56--UT$L7lr6h5?ZVK_Q1JnfH?%|R1B`L-3_CFByN{sLK_!#od*^fJSRVUB(Itb_p0{D>6^tFe=1~b zZj@=E?5;>VAmxnq8leDz(wG5%4i~m^&@-GCt(xm>j}+u1wb_aGz?032b(^gU`Nl)i zKW+zJ1o^!IQkml1b4>Fcn3WRL|kdHl@q$$Bkz&^KPepD>08tz{;_tgOfHB3t~1eicgorB)HclEOb*aGfmuNS@K{cY1+o%68X6oHs9X+> zb@8(<0H)+FAd^R0BKUdHkhaB;DGe^4qqbSt*zv{-^xehz2x znBQ?KQea;sZ`o$CuT~F7mLOIjFs>jvBX#o-h;5*krzHWo1hCvOX7N}>GQ^0R5IwCx zk~~w|IE|tsexG~#6_Lj*GKfk62P0;D&bvtfYumxI2sAl|8CPhWnjP~dY=6U!d0X`F zEP<>5Gp>qye;O&s4V;XW=H@Jqrq|qUS`}a`SJdnB7-|vTN)B$W6rqFQGR1#t7huSMuohzYh5iuOwX+=9)-?Oh)o5;LnF7eHi^nw4-#4gT0!FS z7!71=8b-P`4K6?ATDE2vz%p}SahVKSYLCWZgVP+br52MqESpa#_V1{#vF^wO*<$hEc?( zq4<3Me~=;=f6RBd#9&pfoSc`OG3gTA7ZNoR)!xNDR$exoWZPvByUFdy7RjjJ5VYemIUCJ(H7-kxKH zG@vH)P$cHE7huY;mSbBOAXh0ty;sT235oj>e;6y;R?PQN8ly4i2Wd^u`%xO~EYJM` zDmAI~0GSC)tL74C5deks9~vD1l~w~ie}y8^+$N=$m!h}G9Rw31Mc`9i#kTSS*f3b? z!EoUiAw@TEjtrXHVqs+q$k0;OqZ%8^dSYbMCCpnhGMLIuDiX~} zv9P#8#EqC_BOtDHMXa$iOJl5p560q?tHGy~1t+&8WSWsqgNQ`}niXSLu)y`J>NOha zlOe*UnU=b6TH&R>?PkU)4b7Gvr@Z)8e=_8d5qOECF;k8r(`^6Jj7tfVBr!EzNKHje z?{e#`nNS)N=#*NwO;+6ay$t-}e2}5{#QBETo=5R0GR>V(S_YdPyU?Xjycf8rE`nRZ zkmBg|*FzAgP5jrta=hfzAezIL3m<(XW&)x#4V{VjKE8(wA54z z_4Yt%qQw{&o!k_L7#9%7R^3TzX^b_|TNYL@7~O{8%RTl{r=Tn-LA;(UT_J8lY!(_M z^J-m$)w9BqF|w~6cos}cUQd(`e=N`<00q<1+I)a^3dw@n#Op>f6_Q*WL((EAczj0ACgcQIrC2~i(HZ1U&VObw3-3lyo{Yc^U97!4kn(HJDn@-M{H<&ExmyKEh zV;!W!V04uS-wba<_SK{x_m3o3e3hZ{#HO~<)Gv>cZiCv z%4(vbtIDSG%1-nk+AV5D;Wc&Q(h?xKHDkj~otC*I^=`GI@R~Q0NJ1YZB5Wj*@N#p( zxCU~}?Hh&HzKQ7((h|n>e^{55@R`HFaZ`-N7 z_Du{+IVH*$AZ$AD2hr~0VR&*MArpQX=WQbVvNmtivqH2RMTmYyPvtdqV%oIQeO{Za z;#nctmC?v?lSy5}A*G~}i%cZ3E(wp&>#mTOMtK1zKRh>DN*(!bf3=XVyo7LtToPYl zyV*^w^cX06QX-68x~gk95tQO!Gq~9lo6>q+sj<>1FMu%e%Wwbl(>f0Yo9U>~nddl= zoBXclnQe9K$$)+JIX4e|nLD?M)h8~*c3&V9?oQV{=) z_WM&9k*O2qOM#POf06^f%ShzsB9*<@LMZo6G?-+xLcSFg8YWK#QNO|{m=B^KzPD$7 z_-5cwr^t)qFT15jaN8*PJ^rWkW#-xgROl-Gm^^U(@euRnsu8F5gEyakv)wRx>Ug7U zI3KUa_B@n5{O51^h-1eaPyHcE9x2I_g&x@){CR}2Up0kqe{dP<&PDWv4N*7<9CDkD z-pIq?EdB7mu5bU>QR$a^XBbV=i6y_;!y(-Gr;m#vlzjhae@uUKyrtg;uDvkK5RL4) zTSi!f38(bK@x{lR4E!?AEg6v`7`_sj7XEW-v^yj5_-z|LqiqH(`w*WgSqc$}7 znqxyO{hT2#``Heo5*?-wLd*!$pHhO2CYUZJzB^ny&E77)dP}`eq23zu`33>yrN-jY zI_zMPiUKD>82u^Z`?0)eJf<^T=z?FLzQ#}#(KPl|e@mBCT{cxiP1-ndRC*b7)XJg@ zQsi>cf1|@yP)UOHDwa``TBS6~nsf+SJ*!KC#s&Hk~7H^@*)M@p7s6&!$hPBoso1o5G|_pCN77 z8OK(ih#xQM6Q3|0pCHI5le{|nAwTudxbLUL`XyvSk`$9%Re2%$8NE-LG7f7jRsc;?%9!2Y=f9U=%1~<7ruJJ~fnR133b&H#2|2cm zBo&_~bs2}Zl#1^Wxma=PJ`^U&WW^YETN7dz=iLw???$aIzEZ$uw(Ev}+z!0xCXThv ze{suupUENx1wd*^BDo^g>B;1?A@{G^f$hJZ|7vkdjpKbU&iVy#9vzS zhMk8$h1Lj^STlnDSraYdbyp_w%}I*)ej99y}0c{hXl5o)I=_XRW(dxm6;l> zGtEE->EIi*_KN+WH4#tq0^21of0K{A)RoA~?1Z{3Uh0yci@KzG9f3k#S_8~zQ5l ztE;R@R@SS>)Kt2!T9dYlx*4x&jd-e88r=k zddO?`5XhYB!YVETZQZ^pP9MQ4>Vn#6Jhi+gPIX}wF%&DaYg`kbx}b3Ra?&-eS+vT0 z>Vj;oyrwmZR!((c6&|6ke_8$J<>jk6dZcbVJxW*VLi1dzX453vDn>0if;FO;XGhPWQk{=8Uyw{=_HmRW4IHBWYR3 z{OJu8B;T`GLvBg{soO^_1BBDJu#y5~t@*@}Pgzh`Q5NJiE2;{gf3hI0;sa9Fj3<@T zx3Cg}S@UVP%6SsOWz6Ob1~Th*paB`&N2!hA?MlF^%$|>;rm?4RpLQvYUitNVO!$aQ zTan15PH0T6S1Z~>oce6X{3`c0YUI4@rZJUMFW}Qfiz6n9Eu(f8lA3flPe7QC%tC%v>|=@hN}u>Ueqr?e#s+k4WHr7Awni1q%M& zIfUC?|8a70eDmw&sqp^h{7ks~baeLNSor?;xgWjR>-D}U$GzUk%}M-J-4}bk(~t4f zq|qdbW(U3A{r&y^y|V8IkZ=MNtR@;G^iFv64C8`)FG zeLRSh6}(68e>W64gCsFR(j;{LKnIdIdq}?DBWFB`4pdQ08^EXs9^Axp-z-3ER(|Ky z4#tkR7x}XTGGOxk&W|F0TKdUFqp0*lK;BAz+50`(yMqAXJ;xhjxE|n{0LZehxKTb2 z0^ynMRjj_Sv;jmxAAC0&K?rrB_ch!;69%?Bn8#W8f5U?q(s;xS(6d*z-rK+)&O+fQ z;fK-fAPU^u_kRd~70wY{YJvh4x3)KSQQYcBLFftDg^^bc8*&+Oh?j~+OY2;HR1D@? zC=byX|2%Vgk}2X3irAYu!mEaJnFb3`XP`9l37B2Z1rw&ts&eK<`bhl~RVNjnp^T); zsCmL|f33i?-E#uP#4t(zV;th0^MHo$38&pTWW8cWI(>m8L+pLt`+@TZ#*6LtU1#jU z{l%R_AOyDVpHTn;qu3b}8V8uL2!nfOhafT8x~0la!(HuM&EU~Qm~P5%HIc0*vVN|3 z9P>SaBvk62`6;9(BxZi5Btc2YE%RII{+!IOf8J1DjrlR9aM?1yE%RGH^ZWn)pZ{0* z0DUnm0f2Udc7>4Se-I*HPz49}(>L1{!bO7(zatRtPz1cw9t`GzJ$T%I)%tS+tSGR( z(7}TjAtmLIDu8TO0kV-DM#2y?r~&!O9|_R}rQn^wWnmf=TNFc*s6m-1Q8b{~CP}d@ zf9k9r;H@ZHizur1Z>}bam@>U>MbTCit)IKzOq?)fsQ44+sZ-mt#|R?=dBV^M5{4P` z$Z(&qV(2rlLoBp_i6$-#VT$Z<9-vUb%bk!XkuyawM zHa`k@0u#q0KfJeHH)YAfd;cDNLqYr^f8s%c@gNV`5aY$cE%8+FeiOcW{=K&^^h3cG z?)~7aV3TwZ!hg>(-=Bx^yD&X{bNqQIO#KMUgqZu|*V=cX@6ID6kOaKz5CpOXju;W7 z0ZD#lF-J^o#lTv`KwTi?s$zgC8S7RIY{kI(#XvtQG1ZI@hsX;tr;PkDX1$@{f5d8Y z%sxSI;3Z-fYDeT}G{kZPYt|(WP8BdjieQd7k2eVj2>YAw428Le9%fkV;DPVZ#Ks&u zSO-g1EOl2yi{|qE`J-~uFs{{pK3S5Yp zW>^qja&JMxUrn*n6pV(C2+*#v9^#C!z=IX0dATv3f99^^eccbC zNw^Qmwn=LO|Krg(Davy^4+1P9k9}|Cj3M=DUVBHtYTR@j?3bQhqv(gK-_zmzpj;c~ zXXIg`c7;zLlAohsj(*(b8RFWX2iOj0A0h5guFUhr3D7VFN@1YnG3<)7xIWkCq)ZV& zxI7O4A2gKW@3nLI?1b~Ye?VCL58yyJ!AQ<(2^D5=4OLcLtOO5}%#CT^f0c?TojcyI zXU_BrVQyO-dr#b#WUchmx&2VtR9b5J>zRFr+@*%)(c%}M+vN&H;y&S_RWDv_y8PZV zG)CSKgUY#cTqk-gJ-ir=O5cC^Iml`R72x)3_0N~CAK?jHPV6wnf8q$^BYoU|Kq1M( znLPWaDB%D7R(N{j;B8WBv9FuDY^a)OnWAFJvcT|5IwBc~u#j{(Nsw@JQ7`>50G@ew zNyEdzpt7RLmMText{S2)NN=ET`du?k{9M7mNV;g4sv;?dtf>|rD;*=55^iFqPesYn zMfhd$eYl%Z99Xt+ezSwj-j2dSIlMz%|y}ZI=)w zv6)IV<9O&Yz&jD^_!x)wV3H2-uYdjf-$|oSAqq~=2sa%b$63K)H;2m&KhCExVERNZ zyrkSC{&05-=3{w2{upcxAycH|pR+fBBsh+J4pmgTRDFrri>2AX)X}NnBwx zom+`hux#e%f2aL6iJZER*ob(=9r6^~|FMkLGyBn>M<>`Y^g_7lk^)H)q~FRd6VfTt z{6BxIH9>EDf589+z9@XOzd7TgonIis3vYn>(RJj{D%j>_axmRbDjS#g?k~T_|8h?0 zJU)*nMSPa$^BD!58IRs%*%nrpk(*V)WOF?jP-s7&9NUZ9`su zyiiG8%BkXu{SA%$V0v7dWznC2*-d_qQh`orke-w*cRvF!5gGe>W)Je>x}_03dv@cW z`?&oH&Dcqu~`)PHh zmL1`>HKlThu2N5mi^N!}xEi#|IF$}^s1mA>f1)^0B~+=aP_!;edusK`0v(acQCKz& zll8I`k1C*(p>SnO=*tVe8nl?4D)h-BoUB46bD5Nw(`ssfy*Tu>5C2k0N$+;xPp2oy z9(>DzPm7DK0=@=SToqDE3Mxvfb;9S;kL1^YA?p$xag$H7`5K5SqlN-})+^PY zgx7-D$XNyLHdkdRj075;325CTpEe7vYf=RhD&P{rn@9t>Qg6`iGglJ(4K4O;E%8ju?~ z=~`VFC{F}A{eIo5ATKi@tebwBAm|T~M#G)M0EH~-&qCp28X#K1Z?Uwl!4QqNe}H0D z%6641Z{V(?-<^5&D82)xd7Y@;nN6Z_If-H%tJyYI>uQZZ7mn${_tlumVV`g(1O&UU8HAiz`Te>c@`sfIq?`Pjzv8H7^`{idw{)zI&jco_I@0)2y< z_G>dow=;bPo{~<#sgPFn^t**V%k_kK`a0+IWvjMcRy)(DCv#qcep52tMd%xAm_9up z@DlW!a=$M@zqYDeJJYWzRJ{a#&iP|QaSik5kh{FB)2OYe(9-B8*ScB^ z%P%J`&$_GXOZ&B<%0zwH2JY3=m1S6BZaBbp)ku?pj%EswGKwlE@(||Ev>fP zWJ?AXv!GQ({*5X%?9_?{e~;`z?MX)~i)NS3CbwOtc5hbJ)O22&Keu*K%Mx0eKf81@ zc%`$x2JN(_&he6V#A{jVwdLDenm>nW$xHwGI_QVlwoHWvgF2WBjWuZ3HMNQt=dU-F z9;{%xYOCr|Z4u&1^{Al|*OETnP^G+G{iwG7@FFqj4aMua*Nm#$&{tda`ujjc5Q zjyXN6rbed2IsY}BBpIxd)>8SM;?|0iYB@8%*?7AQ{@!Ng-)7}!%gT?T<)OVvJXc8+ z|C23Ge+eFa$XQwe3w03|I=~{y>o1CiI4I@yAAZ9U4h;p!aTEBiaOy!kweZfh$HEDu zVxK~?6iDyUe_Dq9f>M!1Jd>mc3e-E%N#+BY^uJ(ZYD&N z0EJK_w#5=4bOvSU$+ZlOknR~2YtfH#hvtGGFO>t*e|2eo4%nF2Wy=A#9B>0T;Bbir zLNze1HxE`6cy+XgwQ5c;*paIGL`4A;3Dqv62Gi2m+0hKI(8mKL6s!gSXocVEK z5mFFR!b`;P(L}sp)3Rv$CSR@ZPv6-CQq#tyUUOZ-@&~VcBOP<*9E&`OGWNiZlOa#W z9(>@-l3{2pXYfn+R|k(w`Kdb0*sut`p_34k6~VU= znK2gOyqFlnp~yX_m>wrx8V+qP{R zGq&wiRH>k1+eSqdS8N*<-2C@G4`;V~?rrz3r}d3B+HCV-thrVnU+=$RAg^k2OHo0x ztyvn@gjs`t3391%dvqPt`F@X~1mb1E2AWEpEsWsES>$LTI8Nrhy%|^;MO}s^*DGh^ zi)3(=?AHNBO9Mnxqo?p3t75kw{K?&m{p!=F;xG*s6kK4%Qg_C{9jAKWA`m1?Lw>t7 zugg2Gsra$;V~1jP(dwpjiIPU86oV2@t3@&nEtQhsIlW|`?JHd-5|NC4gl`y}wiime za9L>~Y7xI*1U5}KW2>e%9=L!@CgTv>f4xj(woZMZKn(CA4<19?Xw7?3rjy2hvn%^e zw!x>AVkqMSso0m)=Akjcj;ZR1p*QnG@m92|Ht6=JxZ3r^fWB4(_6Qj?tsecTJ9R{$O2^@?94Z)OTrcs}`v1g=!(p z-*BfOiR3a+y}eXbb)3Vb8GP~sL z)5fs&ffF<55Zbm#3p$u5Kw)l(WXYY+G6FH+-GEU_XL^(&HU0_`k2qy zI9`=4It)U*cqd?nl1R)2Y}hVn*9eCARAhH0rA)$>2PAuRHy{LZoQx=G-(#b5hpzP2 z6Ip;=MU($rW$oJ{Z>mI6{P);#Hsqh?YGxB@HyieWsH%|3VV>J- z-%Ig!_uZrr;Y5+894Mc@J8!b$WeQ>74h1NP;tk1f3fTUpMM$60J7%ccFXOhrH0tZ5 zdt>-Dur8$~(!Np!S38u?kd3(pXzb2iG48^!M}>xX56CMzS~gH1YbPFc)04k%+{|1= zVyv9Ba%hJKBiHych*tY@{(0F>{VNf_#7P`m2f^lA7~>8MsYrl_N0lMXjv(xMKmt7|11W2KX8CUW|}m&__2yTYXm0ED}C($yCQmZnjeKE`b#QeBMzyy zeI5$2o6~h!ix_hyv*Y``pmY|6Vp20c$395P`g8bfX99$wo7dX@k*!9mJxk&cvrRsed5eMoVsx zZ_3*Dd{DkD?2p~a>`;%O+z;ca2B3oC3odm=zMk>L#Fc?ck$2!}?unl-xIS6M20IIp z`$sfcCB$An5p9o0{U9!JC|)pfq5dvl9P+`cI5a*8xw})uiLB>i)4BZEpg`E<9muta z81084f8?wpPwVC_0SNQ}tYpI;?l^Z$~gGXIgI62~wlRR5($VfmB_-qkR!KLhCnx?QdlXq#7- z6%4r46`JH#>dkeGpD78G=-NS%$dXM{r$hGQR6?B z{|7Y+{7*Uh|D;BF$y&R%KF}Sr4f)Ng)lmj0u$AQgTaV_lMG?e_`LW1AKQH%wykC{h zm7_pXTZiQ$B~rH>kz#XswryPR`NM(#P%0gen-D}xKpiC=F=`MAx*{HJEeEP#SZPP| zdv-?+TDs%szO!D~1=R2Owlo#z{>%6oP4zG1u~pBvvy9tb&SBx3i>`KgUH1o%o?McCY;0_F%M0uQ-dk%4Z0@<;XFur?Kfl$MfWF!990KZj;!4 zsGaOUDEtS&Q1UPpcz-kp+XBpWp{%>m>V8(h%sU-qz@A{(ycG5>>4tLm^cus1^$tqD zaa-wgw8NWw?;t7*btJs$K=OAX{N^4ljNE4b3K0(!yd5k*8_m$>lk?|6husezy!cCs zWGQicNMTX#G5IVf+F#5=QXpl=b=nr!QH#VSQ{m*?;}2R;PQgWe(gQc(bE!GkJf(*^ zZ^$26qc+kAq>M^;hN4Xa@Eh;S#78zL7Dmt;4 zJgO^=pdwJE8d;g*ErI?C8A*w!MUErZB-1Bo`V}%G^H+80(?-N zdiSUXj<(`_fcsqf9OugS+TxO6#$pEuM8mOsbPw^J5Im;i`}bv1S179o+uMP?oeu(} ze}K|bM&+6C^3WEf)R)?BrL4q~*AJV+hij+mgQm2H>_wHT#_tCiE%?wl47TZ{^q2C2 zL@KPEaF~pSv%Q6w#uN_hyN0Bi8Pps(zDR0lg7xB0t6WQJ6ad2H%z49pc zk!syLGbTZzL*Iq{uUWk9xru@c27e&A2vFAJ(tNAI8PY@5`^Aj8rs|^kc;beouXYw& z-K2h<;PZ-Q)y=G-E7r|<=$alg4FB%^N|8XfjuZ#Cq<8Lr^+*R`J*nU zx$ICz9<4J_iDsqH6Sl}#Ak-Pv%wxw_>7uZja5T$crjgu8WzF{KxLP{IU>=;ORaEF0 zUOhx=(e9DQxhS5NHC#=1JKxLj%j9E4BgnAWM7(iKy%%MSg#tK^->quU&xdQ(f! zIx2*9|5bzmTFyo4w!FB({(K*;8{?$Mo5CAoOEq+zSD7$1L+3Mz4DR63tFg+67TfsN zMfWRoi6xgJX4oF(@WAPpXDeN*g6c+Pif$@GQVX$dQ~7^JNY%&=@kZ8OG&Qoy&}aai zywaYC*H*aBt=Zj&f5SDoj%9ReAMxfNdAfqve=c`sP12nQgtEV6h*iyJ_1T8*0bY}D zxLDN@db4@|=4|1h-w1=Pn@U*|U8VYKr_FD@_|{q$PCnvt>A4QQK@QzOMVYb&H@xV^ z`Ieg6V71EX4`dpz`uVrcgETtm@_j)46#buH_zUw=y83}b*|megxRwsB0P`L73Q8r( zwo+(&XT;hz#aUG8Nm3mCCnYX}&0A}8zl_}FL|bLoFT^iHEWS4GljUxhs5BW((TCUm z-i!AmPKjLz#1#D@E9RC`5_MN6&&4cy5U;wrF#=`J@= zm{r`0(vVYGmveL9 zqGiyZkET4M3`vdrQ9I9F86_30Z_Q^uL;i%|k?wj`y}PJZPT+qy(=-B)r?X(HxN33` z9<&rpcBov@F{B5Hsiv69pK8m!xId$ztWvpIsuTjpi28miJYGvXp#gYlRS18rP8j8% z8trsoQWdRY1rX`4^t@GrRK14OS_V6%PUPh4l1*uY8W3WeO_x95n z(tKkMdQzO>?;HMx`2F6kYC@-5&7G;Y=Et&2n7nk&II6OYP>h=&Yan7{kgBpxaM=P+ zRjMA?@kqGR;ld1eMCMWu$<6W~T#g0W*1I>a?dn#@?E4SZNH(a*nLeqEoSXqVb zQItNlMe^rwyZ&mV>;W#*C2zB_W87{5{#YBDe+_)jt`~|XOMt)Gwz=xKuV=*~?x#XJ zZmfa%=zxr3vw*EeCMOuQozL>zHJ|H-XHn`O=X!>3a|*8f!+h6`sfuU*ZFPlh)szxS zPpP7E%SN<~{4BL**#V1m>bJf^a8=#neZ>|%IPrtnB`TM(_8FH|`yI6t_Vm%E(&g#u z@haKz(jc-P_P|L5?@!lB-2=AND0-?Hq>=NOU_^C7sO14DK1X!AcAZ}hx4K}MI*LVP z3v}t4qGjl+Hk%k@?J*M;B;&Rd_7=LZ^|C+Jp}C##8m9>n=`sT-tdRJ#2TjUl@}Il) zwnQK;CvAy`iTHUvIA{p?rOWk)d^!ee6teao{55(SK>*sNZF61EnTL+j0&7eaxu?o% zE|ok=IB5wkpKQj1ncr^gdcNs~=^Bj+u2wp<>fTM56AGnAmMwD1D{f7DX*`ib*c5H(vd;}`Q>ci1G!%Ue?@iq!_w77Lqk zU!#J_Si=AVvu18nRO_;s-~qE-PgMT75X)|ebg*12P9B(PYgJixyt`lo`<_RH1Zu|~ z>Q|(b=4DPz4}lDr?6yT8c??Y`y0yyCwI5VuXy-W>BRvG4Hhj0HyWq zzW(gaM5>eE*>I+vAfV$`@IXyUISNzNKx8Ja#uj&+c9xl*a1AB&R~818;CD)OuV}T2 zW!9+f^#wWmx>U^b`9B&-J#djOtR~b(Nnp$i|EvPNKbOp^Q$Z!mE4N7H7OFY81uN&rjJU;;ReS=w`V5~an%`-6sZ={O~b;-T@zY3PJn*hqjId{j8D=~jAF!2#Bkgy z_uu#SuzWfzKP3%r^DEA&9(IyIG()H%y8As+3fi{v2v&gJVF#OG-}|YravmBC9`T zJJ+0T4q*E2ok^KZk36c%ho%$13}x!8VVb=p%tG7kS=z(_bw{n?$ph?HReLqJW|d-f z6@(%y+YJl4)Wn&_N;%DS7Hd(pmrZ!2cVrx{CGXDT=x0u)M_QdM#w{x4(dTZ+9ybT$ zmfx=>e-o~F7SFZ=XIDJ>Dt>zh*Opp0-e~3P?wx$yXPO=Xl)Y%}#Tj^-s-m3R!EXbM zM*44kRIM0DjU-?Hf1v$?rq@(?%thFik*tFh9BBy}zJ`}1y?6Cj_cI1eoT=S@t-&a{ zBh|0!!2%8>mubDKb}a%fHTGO_17`TAgySJ2xx&%k`u5!C_*3fRN!Mj0RFDeFDhXd2 zXqr=K?kB7V-6_myJ(4r5g9h&^PYG8c;n0C}AM;?@+Rb}lsJ-DvD}9pbc#KP3=g{`% zn8~?sC0Q<44vWKn$i0T}1)2j(2SmnjWykiWznSjAZa8@LmUjP(DRr5>X^VBRjy_7{ z7l^|fDvB~{D(`jj9T{mb%|K+v_2b8<#!!%EzR{%&p8jRBxWPK+BgJqCbBYcs`$dLuD~eFf{S5Yw!ucFVhAR9mUsYlw{Tu?8cstd*e(^Sj<~H#Zu+PPO@A-D zzsn1$1hz84!PtO%2!U$wZ@4YiAVtFniw&6!pLL&yv^2aiE%VXgNHgIyIKL=1(SAH} z39wBz{`k4?2dQNp1_m*}v5~g+X6{Nrn0Sst_)qNEUvu*!6dUc}bSUBc zU^LvtASg;`o%b9Ns355P{iqgoZ998$ZKu#5S^Y5jf+1Jtla@r^TrgnKL%CaYMW94f z+>h*{KrA146G@B<-6q=dZy0%lGy-V^8V_#Vu_i*j7D;^ypP;IlMV5*&!3n6DaZF^M znRV0Y_t(*&ZmmUVE2l#QWX9>S+gSw z>EA8Nz3HP;4T)eY66Va*t#sw2l# zW4Tp(lcV|&w?#pd7_6UeTwkWnwol3!M4_y@WaXdDs#`q;l#q2@Gb|jFI&Y@LRYK@;< z{g9}K0kQqpj_{i=60xR}x;%43`Z@jGs$9Q2RQ|@Qas7{q6bdo<$~GA`mp=}|6WtI3 zjE(#HCe7N}38gv|(4apE$4PSH-oD&S(7%0-*7mqWQmwk0ve3WMbpxchux0~3A8BFv zK~zenr4x86#$0v0x%KaY|?k7$#M{xH>L z!7qS4QI^3+$(^;!VY??wvED)0OzFDhMTtGg;@ENNBvc++DoAXmyKo-Z^>umSsb0$OpGb9v$7%WBzuJ4*u>;iU z)Z0`kA>aOdAFLInNI`=R#IHz?lDVNw8UK7+9j91kTM04L+NP|`xkYPUYCB_O*0J8e zO~$zY1*HMFW0K9?GnnTS5Xvy8NcRv+IT>PS>(8<~;l59_VMCKSH3oxLs-xVa2`)+n3#GRf5)BqQRB(8!I5sc zPOFn+1;V(jQ;z?Ax9;j|H}*HO(KM|6^wvsB6?L97XaV;wkRf4 zT$xeM=6;fM8PORE8=$}-Q6XqLqVI7vF z`wL~Ed7UfeO)bziJ9mJ9HNh$}Dj zyo^|gB>nJB`UsSBR>Pdm>@T7Xs|&ho-#d+)zr6()m^{SkaG!z8yo6K`lDm+ zNSq(Z)=lA!nWxZ`3?&j_y8$l8PSQFLF>}4%y!1h4gw=JMaV5DjK?56J1GZ=t`;~3! ze|K6-xiHtu7*G<&hK;QYnNm94W4E;vPdnHi$+9kCUjrOFU$`ul*g;J!a=;8-(At0s zo7sephm9~ExWi5){%0arl`tnYwS=V`dcZ@gYq`gF7TwaaS z`;=P8pp3vGn+|J@K#lXkv4Mh}(iK>0i$NP!a}avr&{K2@B{%A!ir$n@XN<4vPae@g zpLYB)kukS!z6&Bxxtzd~Ng!_8_^v?D?J&? z`#ESsMFk^Wq4AJbGWWOLze|jGiK-CuvvUb5jH)W%MTQ?j6l+J>c2aDbW^*8mc~ABT@oLi>@W6P2G0PH+Yc`YEv|y$9ZZTCMQ$QHt^!Jz>t*vA z!sDE z(xOr-+g~gkj>}z?3`GIGeq|tHyFw}y@zheb_mwO`m7298kg`zRsmH&xYkecyEBQ*X+ z#4t>MY9$qO1d zn)FNH9!`yZ5rDs4dv8$JdHkn$twt$0c2eM6i}4wyG#6p0g(2p*#Bcg7}>@TZou z|KJq_xc*`Zvl8KnTesb({AKtD!84tl|JyP(m$fzvV%0+(E%y6q5?vWB*qa!dxwj%p zO50h=CtgR78`1A;K_J-CZG+S1Z5UTH$y9CqDRBEOIM}{3U>Vm>4Q&OnNp0(b9WH1l zHlIa-je+=zXRN>v2EVJvXTpw_8^ZN+bO6VW9ozwTFN4()#Vidu42#z+nKc3(h7XUY z-}q9TkX5o22JR6!5>f2;wy|w&#!u)_(yFAu%%MO}=3xv(AA%cM9`h3iqSrUajG8c_Iy_4G8=p<#~>#?CPQ>#jC{ns4awtEKes@lKFV!s$K-FF^a9Rm1a&R)u8B4xpcW? z@&RZveo9Pr<4GJMnYl6A-Cqqr53bSil>N0PEWL~-3mN?V1ywzd$HIT8L=Stz@gO!_ zVPn_>*?Sji&svbypj7Pqb)#7XRDQy8(AIphM9Xwg)|2EAIQtMv2E$P3Fb)pdRAETl z_Qtbf7))xzcDm;UERBgeehRC8v` z*9BajeC6wModEg0{eb+!mXuPz$_PwpwbS3@k5=E*f5r^$&dW14e}g>IWZ$&?adxD} zjZTdrnsS3ayH7u0bOXD9(;3%`$g0|eCr6KMO^y^5I!Q$WE}3r1+j)E}VP z5QJ-SK3eZrhEc{@buND8aYj{mw-0_gQI42nqa4#j^nsJ85IcKOntCfBunF-oa#+h` zyjs8QdARFACZF0&x?c4n_ltw@i_lP?8mn7H5E^~OKlFLb|3nlT7BcPOx-pnKJya?NnJD1C!edBo!-iXnve}UjFrwrZqu3iJtk+Prj#n7G6y841MKL#y+ zPohh;Wh8$O)hYy+H&MumsFyh9qzR%&jNy?cv-{JOo)Uyelq+lOp3LEZY8b8>rQ@lT z-OS(y#tutIR4S~-Kb`jnp$YIfP%sNVudq4_8_S7ff~++BF20vd%L-*HCL44a{5tyO z#Mgh@$17Wm!Jf*?;R&x!Ppx8bfN19`tsHp{;&J z&^S~tk^+G#iJsak{Q;~!2VbNH(Tlz_(pIyK3%Y7Z*NzgjitKQx5|G9hsYYBr?*y?c z9Evx<62|`|8xo@IOXu`tl!e@`6yMX3pebFmgl+P6b(w~&!zygJmvs|KGnLz+s_XVv z`48PGYqWWvZRRIeA2}BhiFrad$XEeIaFK8vB>e5sq{fediSK&-<}?qrocPj{whKiZ zFtsnrh7Kh@!Z~+zfTeA^siahj{6r^cg7hEzwd$8Tb=%n)A=yoy74Q?SZlx@dHhWHW zNRB^)sBG%!JoC3|LeKX6~*0vrx9 z+y%y&4v6M1aGo#B$o$XHrfmK@m52wMPJQ2Nq|zGI#bhzmJmhbU4?{?xTn6;&%r9h4lXpU`}@B)|O!``W7GKu_PE*MIOK7X+{OcvgBNC&o1=K48*A5o zcD4!TMBj?b`y62aN}k*T{E+H;Mw4W-;IZv%e2dGw8eMdu;U^Ubqa1!8v~IAw9^4{X zo*QE~TU$Jo)qrDs*m_Pa_!wi=V{FolhF>T!8WIPYn^kFWduB|?NL0Wuz{?GbK!hIC zrz6wX1xxwBdF4(GPnGD_dzWG9kkHy1<_M^m>Wb9ACBVkUvMH2FQ zqC`i-V=*&2RxAQyH;Y~dU{Q8&7yA+Q3#RqY|3TM1=(ibQ9Obn48*`7)Xtt@yivm;{ z8Ur*`H+&5bt}1W+U)(zc1XgP11U%Q0LF2k8Gh1b?5-7$Z$aGJSwnaZN8`ALgnVn%w z{sWewnJ%6Q+MkaIpvhIYsAP0a+F7B*FSAnowaI5VycaCqk)~_Onx*;blPrdHDamRx zqp`VK(#Vh&h5Zi?6u!#8(lV`ULxIdL>6kWA$CMg6J?Y!|H`rkoR$P70Dm*H2rGD`& zYq|{S_E^=^-W!bD1*N$8A7ZC8?p?PLM=)rZ|1CaGyTJ3&)rst^+1jQG%ugp`@~#P1 z)mtzm2tUKs)Ptp1+n}_5&_sPxmqtS4`Os|A5>feVEcS!#KwBB#i;odywoIvn~9i4o)>6fqsE5t`mtX6h>pgN&YB3ye-aFX3w)= zfMw#i=LK41yNIL9CNU3B2PqE;M4^sC&Xc8`Bx zPGPs6J}(x`X|+2wUTsiekMT5BqG*dZ6F}<{C@oC(a-Tv-%PdW^i=El%HjEAGN^onQ z)+n4GtlyhtiR$>LhTJZhc;#=FCw93t;%yl@9XT|9iV+petC+%ncl5>CZ)K@yBH}Mb z$j=E>(cc9D)UPZ=DS?f^(;Cb?NxX9$M|6fn+alngeMT3$87u1K4@)P-oVcp=o1S*{ zN1F9`oE&&HZtq3;l%L9T{8h(~=OqcEMBz9i!L8n=rin7pKXF@+==Tl?fwKh2^_5ZVW}5dF=kG3zs$?vi8AH2w~9s= zfv*U9G?YYtPezBtLY4Rztj4gj6^-`tdTIvmZ7$Zc13faHD^Kt@7Fs(Bd&UI<6s+<; z%C5X`lGEgW;Yjcin5eM+hn$#IB|%tmdVn~0hv}zL1J1qkz94s`D)!DelUSWSbAU4f6!$5}Rj4i>OO0Cws zb?Nvi-wiMPAc(aH!x1}fRj^!TC7wTjIoPVYKf0(6-wUeMRol+Dl>6B z2$-Y|kAhUHz?wM#PZ>Mse_PAO`t;{1e|9}YM{3Hyj1^2ah>jmJ9FTayEnidiFdFYP z4!0QJ?W*61Z!2oWKR0b{={nz3+n=0%?Jj(I%MUUq;DG?d*U$;3UJgSABcsr=vW7|K ze6No`czJSPQ|G-OpzR3nfx)lO6+bFs?VJ@W&u`_9mVj!`V^5R5(O_AL61+%GGzpm+>RAj@K7$7=%sgDg&6M*6PbgiZOsD^8Sh%>R?({GWmo z_p?)nGr)gBjTCb!`vYi}pjV`WXrLq=6xI5lf0}vAp@eH|5EA#jXCT*af ztAs%yZ;l2H{D#?$Ikj%1UqO+x2lkF|jSYEOE*+=`rbENh$e#E2`rlURjl3glw7q2! z(>PO=`Z`<-GU|-6oV*Lb4KzI=B{aPtap@{(uqFelkh83y3oZ)Ky(tqe{tF$L2hHKH zoYI>6zV7Qa31{8^$s#secRYu=k{iFYjDGda zh)AnwY3N#u{r%!dv4Q8y3tp<<>uz5WPwxy|y`JAI7%>EJ%%e>%E(MTMn zO0Cbq@x%KGe>KD_YfNsA*{*-$>Ns|s1OrD%Eq^4IhZ^HWD6-)ur<(i?G_-!Sa!?SZ zN(lXyvsH3=SR_zC5m4SwE{8`lBPXx_G?GE8-SdDum_!{48C+CM&9bOYgv0jSpc!VO z#r>@i=KPjW9?U+Y+Q7mXpSC|o0HTVWr=Sqx{IS;P8|q3dHd$~(JKK3sDLVQ@h6U4v zrE|wO*p-W|@O`jH*qj++Rc-kFT;(6OhY)jb7D(oyr364^8%GFP%>XtZxKfmpYs7tL zhJIbgUq9}r58&~y$D{+f4EB5XKU$X4zlJS(>N|nSBf4^QGG_U67j5P~)0az~STW)n zCfO3IBtKIG{0@(Ng6^V@WRS?vg{hce&4WOq=o&NEnLkN^bZTdvYMcagO^8FYF<6XR9?wW%B3oQXG4hGgID}>hQ6K*^9%3xko}#b z&Wlw?F^rT&u49IJA0wynzMftKNL19q79;t;hQOyr#pl&nlanqYeN9FehO<~{>G6|F z>K^&ZDSg4As!677Be>YO_=(YX0enVf0({kngSWm~=4(rgR44!9# zSS*Wk^=EghAVg>qI-juZ0DbPH@_Bu3suaA!obLQiR_7obM~)=cZ~EL3(d=n|w$l4T z9s#|rXY78qJJN~j(hX;|JPPsrQJXMttP|(r#p(;T4e~HZSt-n$lHha6^5eW<|H2X8 z9gAUfZa<5=zjw-SJ`i@ysVnB>f}C~K2b-}ogG+~d8dLka#Tk;Qb_%l) zdhFEHUxfcl%>Ix27mc=86i6f0CUgi6felZl^*t0{)9nnFfXJ{;)159DruR*@86eSX z+{Kvcl&SK&bKpwhd+2@hT9^gVn{N#wfT;SnzGPF)TdL|p>=J8TC_K}JD=Blpk`F3N z*p)%03%67+9syTdf!ZAh6R&SMqhWsa3vZWNy8_wH${-M$8x}4#HxwW5R!y|}9o#62amk)cYY}Pkol6PVH22@>~N~`SbpmRcFmE?jbMV;jmvBG)bZmmoDRJ zSGMRfIzHnRl@Q&QDX4je#jv1c&HVE48d43J9%`Yf4h@c{3i@iJ9*vHhi+C$r9nbR# z@RpAZn&p$;+x!<>MGbJ9rU2J79dfi|u2hfnow_~tY$LzJBPR`tq}RXK{5pf(v+p(p zbK8MYe_}TUq#h-nDfJ=n#57!UnbqRj?qT$}ooi39X71Mu2>R97szOJEs^^VITR5NTVP%3iQIxJl}%>S zo0zuIxZD(nFE6wb1?F3++m*7aCtGP+y4`%T_WspUWX`+t0?sm~F}v2cb4M{ka+v6y6_vow#-+>$mO z=w-}FeoP6cZPc}vEi4NRI6sY9j({Zcu(C(q7rFNdZdUhh0lyy&pSvBGWB<5Nd$T6`KO@S84$|1p>jeI~U?nNlR7a!2hn?}*e|ScgpS;KKY&Zd)Tvi@dW4wM@ zH{DgC_*m(zo=1vl^||zBSKsLOu91#+HC%Y=ks#ReEP-!%e*%bRmLMY42pm(+FIMY{ z^KrR&?-V?lka$PE66dIPYsiWfwsCsIf-K!2q1VcPXqt6W_-yBTRy32S8)W9h=G<>l z%FCk=9C_hVMx&(#c*?jYW_ga=OuE!usLGi%_jE2|+^8;;ah*cDWRBodx={H#WOhuI z9n#&rdjT?e>4xiNExro7Oq?>_y#}_G`PvI*E#iJ(b93bsATjLHys_h#^;?qyN1Ws4gG6Ji!?WJJtv?_13#i?6XNum$ASF~qv`P-KdIXc-LmB+7 zpp7zRq!Q+vu2kHoJz% zKVTT!N8%|oUgEqxOCptq1MN*3L)YwjuUpmH-m%3EB=d$$CJlnwpz!a8J%YEW2~|{I zXhrl6)Iv%&g11TyREiLb1nrp(CW_SBIE(wychoh0W<({|4|&xeE^VcT0Hna^&l)q%aRj$2~p%FCOTS>x?!#ifVzcGQ984leum#hr(7#FPOM$mZk6$RKR{S zdRBhLK%Umh1^Cg|NmiKqCfE03RC6@CFa&=Jl2u%NIdY$rwXa~Yj{YrD*k^TbH@LQK zP-zC8^l6xo1n)WsSY;mJ?x}g|wB)NMu0Z`5T1fUSt=}g0DGetnnPSL|6XG(nkz}(% zAjza8N}{4PI3IpDBTg>qVyT0mFbAG;E z?B^4ZFC$~N7rgtjRU3s^PiokPI$=D^?(|MZ5KLQECQljB?g%5|M z=j<6~2dfTzH%swe91|pOgHq92&^hLKT68Ed=eng9xeWF;uj0~R1^_8E);>$F zko2RXp+=s_;{{ZlG)|1G{@LJ=wOy)TE(v&SXxqO7?kg2n|G2`+W&fp>mPJb z`3Ezu46~tl*`wMYsYt6<4|D%QJqo99OB?d2Asd4HP$ zG7aFh((UfbjC`jJU$k!vYsU(d{Q!_m4*PuUav;fz)XXh zw?~PSyDO18(3gX{1y>vR!`{X@W%$gy;7R6x>un^FtJv$}t>&3*!QHoa%L&vqM;o<7 z7M{e}4k$Uyb&+%%K()r}j#L@d(3u5Fuvta*%Df>pGa`1GRm#?^qZh)GyTz{uI7PnbAF zF2=N!Un2LRVvk*^)M$7EhiIeRS=t#@*)ni=RNnJ$OBDmWz?k87<~Cat*mZ{00!=pZ zk<&mfOLh=~n#{6`-p*`}6pjpva_dPSm8mU-zqd43azM+f(?IN4Vo!kVJBCuTR1P)& z+nE6eGRzxt!8hP;_ugMoW;ZpR67+pHOp5qUb~i`RrtO{6rE&&bxqEkAHU$nmXNfW* zp3EQ0%Fk>Pc=k#XOJygHk`Rgj`8pAyU+|x8E1BZa*1+>C!^-pb@}ipy@Zs5fW6ZwL z@#j|kpK#x62SajJp9hTOMO!#_dYVJ%Qkc&=;BF(UY3 z{TGv%StanWxZjeKL%R*0W0j81#CJkj*fB$x%te0^0D^UX+stmi*Nh@9o3~N$S17wa z;ZVcZht>p91mDBEM1r|#E}VpGeHZ4d zObv1Cfb!KYF}IuKSLF!!@bVpIyTt+Qr)=$!V^IdP1bLm1NqFwgYriaVw*!)Biw-Xv z0a-A8&9*NDRgh;zecv2tw1qqd?ntCZTQMpyF4=RT{KWfwQ&Xl1!3&k$5rHCB)=tVP zX4w&fs7k(hasM%F!&MIN&#@_^ws zF68jB^<$(6kDL#%SR@E4N{bc2ufi>n`Js#n=>7V`8m&7yZBmg%(!u@D5m)FX6Z=X@ z=wmc8b7Gcwt4HPA+w0r(nc6|;Y^TSYxu@%Gu8Xhh?d~4@>#rB-uiWZOLSXJ+cf!$J zV3eA=wY4?TF<$EI_;i1dnYy=2Am3n=TTV@Ibwq_Hk(hB8viq~M>UrE~J95YGS@;9# zP2%xM0p%ZD9@2+6@pGO4pn&voGCOlZMft8NS6g77=(OiFDIBa;dynLOZ{D-%D%9)q zlR@l2D1H5m1<7(fUI>|pK9?qIQ}W{wh`u!{4WQmvShZ7nrZTL?-om)`c*UQvnE$z2 z2B0(b3jUxr6oQ^)7HNQ2EJ2#rYuO?0H&fX3R}k8Q+F9~=TTx#+hw?t#TjJ}u$yAb! za){~m*^|=RvBj$8P8^3{n8iNhSq;KwVho*Zb0#J0m93fjHrfck!ZtOqMArWRxXhyk zF??oi46TWv{C%#<`RitoJE(bdW5mpCQuwuGe9NZZ9llpH>)1x4&Cz&L_CyF?SZ2PZ zbFf8WMuFdG!5?txGo{Cpw7b;jLc>!U(%ZVS_GtR!G)XvTPbGze70gJvZ>K;V?zN16 zhNzegX3G+|0mDfKZCaL*{J8&eCawx4Li)#ip+aM9QpCo-{4k4|DAukV9JefQ`RhbHg9C)bd7u zwib*OHtwA{YXwAv8cB>4IKvfpVp^5OsK57-qTP_9|Ans-8K}ENECuR-DyJm$s3wMC zJ>YKpM6uYUSM)a`ucU2JomGz%%#KW97NPN+7Z z;bnw*HKio^+pVWl(3gx0Hyimt^-80TVNXLX#K8(YFAL3YoE^iNE zn#0V+J~{2RE+C#RxCjGGV>>y0m1`~X2Zw&~>t%sC%$}I)zgsPGXS=?6He#x98)klV zk*=2&D#jWZrsFQOc+^g1NouJGO@Cqb=*X52e^qhx&Lz()-3@>H6*FdHg|MVW7XP2p ze+=_}?zCl2Wb3P_sfsz5Q+i%R%+yX8Z0+q=(m*BV_*#E37nw#iYVq{-!k&NHNLTf_<{aN_Ew>~8HMBDvH?vK|^@p;nbi9x^HuSiu@% zGFz;y)h2Pq7w0XOI7yWxWeZqG9>oof@Y^0Dlz+ti2Gv=DC;wd!JxFI{p!qWYXza%_ zP+U9d*a!n$FzE)wvm%;5$;$^ITD6u25J>>zOnEG>+Mzlcqe+6Ri13`-$t`kPkeY&| z_qrz~3FV=q3nDKI{Sd!2SUP_=C>*YRrtvc~Y~L`zy;~q+S>nRu*GbP}qi>eZ#2&>u z`+G~Gqoo5VN*`j+%R=d&SD!CHIT_vf4-G%L<~3upYs|21UXCRu_{alNZU6 zy=8Zp#SVvVl*%SMD%e!gg17TjbKu6BANb&MJBspcpr$Ehp}aB*7-CnRSR==yj)UI* z2mqr`y8?#btA8^)?ha?Rtr7D1By*tL-4n&6)blOX-668~H|xjG#IkATg1@6pmu@FX zqyJx=ol|gbLAS1Bt=L|%ZDYl@ZQIF8zSy>H+qPG1+cr=BefGt!I(2ULP1l^GXLa9= zn*H`O#w)n?61uZdx!>UKfF3fzb#-|Kbt?V$?5;Nhk%=>~a)20Bc($Slut?4Yi(5eV zS!ZAyXF{5#Rm>RF=F+UOfB|(94PViHL}-dpb`3a|UnP8pQmD(H-LW8SzJ^C6*1Jb+ z#{#NXfrzb=0VOb~O1%PNG)1V1rYwRc$N&`|jAz0YfIF0I4=`fF$MV>eHaB7+;7LQG z!;%s9VB%tX3`*k~Fk;x$#xPx}wLhiOYJE7Jqy>JLGV_&&kk7)%113n>-N(bmH+=2X zegsf)p$mz6ZW7&xV@^72N~}*LTb4bJuziNg~Y&%6tQPI5OMT@lJvfytI zY30^|fKKioZ3Kk6M;A^l|{C@!R2f zvHGnO^J30J6_UNc72S<`fda*Gp0%jVNZ+F5byr~6W!+ReE+;6fZwLOC{90rn2YkI@ zib7{XKFktcKw;=bybC2fqijv!%xlUOjQ=XlzpR6pslT`pBKUyT1{^blN?mfjz#2ynd(@>)2<*Ie^c&l8R#*DsS2bj(>Lo<;%fCD?RK8(Y}Qy_{qYsLSYuz?b08*y0!V^Pyv?OAmt@KU z$kBfjEsHqjQLHA`7|0r-5Y2!hlW|P?8FddhDgRUmf7Ri?ga%>e=I$Ckq^S zHrXO9H2@ypj*|Fe`l_y%B-CAK^lHk}CogUfFxH zsV!&bvx$sX4G?rPb^gJ}wL=45+eP#2A|#ks2>R zBM62Xhg9)E=FI}7En2{DJpGD+ZtPz)y$iU4(L1p-PeO9g2@ zMb5n$VIaEAd0Cuj>hoj2vE$qVGV_{FIzXB{g>0Qqa=t5x%m>^eOyGhYRwKIPK1;z(DQ}R$^}xAolwW^(5-5S7($HVucRnZr9Ml|uBsv7G)h%%YY5|45 z?e>*IloJqV|S)Ih-d);vmIhY^#3BZ~%@63rtY@ z4B-)fY!!CCiAw|_>MFaqNpS!BkPVYhdm8;Ts=RK9yxd`qA8X)#5 zP9+jpp#vga%WEKXo8pXVyd@d)veE#vx4N+XhubH)`Pc1^696Z(8sp|B<84GY0j1aj z$WZSy-RqjNDh+sn*8pJu?wEv*ijneT*|@llI9Sda0)F+-Be|sk=mu57Qw(nrWODvR z8hA>7TMzflr+IWl8&tJ^UhigN%LkY5e3tch2y*^6;70j-o7o^wo$NHMvmXVnAzxOm zOS9T4rJ;Y5eo5FE=V)Ex2aK<}c>%8*qMp&&PgAYFr8zIXjRs&}|BTo%9Sy&u+?prw z)W&i;4XqqbUFsjg%qE=sW|raV*Lmb8#at2Zo>#kgpeUoMj#*|kJL5LR78f6_l{ba3 zP5|W!tgQ+*4u!72RVJpy7Wop9?nL$do86>Np3Zs-m-6!+FvdDX}vQ&3`K%1xVRjzqimq*pEH%~aL)L-_I=SE;Q=K7 z7DXXM7gq?%hIQ}@kx5XnO3|#8=W5P#gltO-i37#k0T~7H7hu@;Kc2YwUb$4U(oUsY z_70$TCMqZLoYtiqUM$LXn&_po!QtE&^pg~)zLZST#aRdmryGX`RK1K{gjACxV2or_ zag)KLXRO~BzCzBm8p?!pQyGP|!12{_!u_hWi@ty8NIWlgI*W)E<2@aPQNyMpM^EIQ z%m-#n0p{7bC?bZ)hUpauBA-WN4hlfJcT=ogdE$EOsS)=_k_x2^OJWWdUa*V#)#I~# z@%k`vAC$bed}savZS7wPv|(?;v(jo%!uvJLU)?8>A~XJJYC?1h9z;34?V+V%8+3t) z>u^&Q$NXh>iEC8~12-mTRU(|H){MNcE)hlW0O8}J3daxe8KB1E-}!BhzYPB9`3ujeIDV!pYKwILmz8lCbH7ylY zaOead$StiKfy11a(gZkmYe!1tRZ86=qo4yX^bYhX8S?j1Rj2uzXft3z%DX5A4m*1q z0Gvnk6BKMWnUMk`+Ti%W+Us$cB2|Dr|K=V^+K#e#q|a=WWB$Q3Ugmx6hX~9zD?J*o z_Ts28BuE$6NhyG2LE@<-xitQXGHlERfmMw{q*}ITssPW03NGW7x5ZoKMXcGCf~v&L zx{PgRMldmkl#>*k*L$i-G9M)W;Mzt2!?VYVRQWFmR>nuqU%3b}5IxC7` zlyb(*o(Wy56rRz^C(aIkBTgl4YVIycTi3;!RV;E9V^O{C>KUoi^WJ{^N4Ej|Z-txx zy>2rOqbc!UvJD)`^eN!qv;~vYTUD|y?->5}@OH8v@&F~}Ck#GsnqAArKRbM?3HevK z!zZrDfK}K1e*q)oWH6>JaXlXS9~c(f&>l)pYbf1e80SOmL4UKpf*sRXEL~5x11Ica zdhXfJFB5VC4pRu=kNG}Rx9Z`(q42HFj;!8Z*`R8w_eaPmbUgu}osYMcc$+U1D!ip+^rKo?98L_|*50gw9{IBN=qc-cL6xQc8}l5b@r^2|Gh zbUP-^v>z*hrrDvf_BvIFu@k7|JTxmWV+l6~*qwBL^tnYKUW#!=+mSaVBv@iCJSF6T zK(v#MFYP&&8vJNAZ>l+$t5YXF(KD8OFng~(ZHy=L>?)0 zj;ULR-f`6Ww44~rn1z!qNE(L)`g~d$d>rc6zau^&)%0#=k)?fOhZWcuXf+6`J?!`O zZ4J#@0|_&>qFBw$%5?AWvkDhfRDv$?W+Bk1KM_6dnFPZAme~W3UdnwrbdZ znfewP>m0w~ydfmM4-Rdh$N!WM81jhmQ6@wOnjV1W$>l$&OW`hvA8w?MCzqg-x&NsI zgqQG!-MP~OgF;fom`yBq=BKtxiz4Xc#Tl+4%diDI#Fcb2N+gkuiko#03#(*^QjR3o zw(L4Q{tGZ+05k*IH6dWtEY?C%)grTbp^e>|=ChDHVQ~CH!Qi)Q0bdHyNgjD5$=g}KVxQiiIn#LCJWNMSPO-t!m?Zl#DiOp?rPUh6wfM?E)2xklo6Uq)5`ZkI^$zwvT>W4=~g9&)uCl*@+aaIW^W zL|F<_LAmG>;TDRgCaa=fGzbVohPds%ik7gqHEiALxIRVuQ^sE;|ctEz2 zP2P)YX}SQI)mUT;`EnpP*5xfE)xbr8U1MRMC$u1gMi8y|J*s^S#fe8(Rb;dHJD~;f zg0qPzG#B9g^b?H!vXqK=hT?YFa<;jgWr8Jr8JCM6eN-KRS31Kbax=1S5B5S;*)ZY0|Eq`upm%@#lIME zP}S-{9fj20A=p1*Vu;MpJydx*3LOqjRk9LF(bO2`p{s2JxVTBGZOW_c?=b@iS}L5+ zw53?Hj0*CQh(tNjQ}RpK;VO=I75;9KhkqZ1RCHeH+_g;0S312ar;DaH zMHOuFd}TwdPc5?}(uwf%(EJqvfj)J4%jpjhCY%DjQn(Cxh&X(+jJuFH-*Vpv$RsXf z0NP}F;M7uH&zEjL*7U0UKPCVl30+8g9(I7G2!hXX@g7RvxE2E$$>;^v-Cyb?7!v{; zbt1J{V!Oc$V8~mIk~=ioFYBwX+u0b^8-F5gmTDi;d(?&dT&aER{N>+Xv%cv%(5tDI zkED7Y(nah~uak#>17@cCgXW*F`MQ0c&)@a_!KAb_(uB8aOk9eTk&jugK&;l3X*>h+ zBj%DOD4@QeB>!Eh8U*OScDQG;h2l8SrUb1M_yf&TFdmIxZ(0X#o1{?pcq_bpcp+K~ z_;6k{YOndfUR$#)^dJ7+xtsW6qZ>7N8e&tRTrHB;ule|B+7CPDe6A2n+Y~d!2@F2K zLbY9@`n)MnMA>^}3Kdtklc+1|lGO!Z(@Fj#>B-r=!t7NipbAyiMB%GVS_G3zfhVaY zyP$SaKvX-#Gpeer{oq}cYtAWn@e*nV_#A9EdPxkhm}-Q%PP_?}j%Tdv6fMdc=@h@> zJh7rln^G;bNmJAEro)IS8Jt-@n^WP+1a*)#JdY_8u$q;Y<^J+dpuWajRGtAu7yo%Y zFE6W&UPj!BI8Ze3C0%E0yMy)Ch+D98b@EwGjG@C@&2fp13;(Dg>}x)R^S+iQT-FsMJ> z+~B_vG-m&ij*KWg0{X+q(P_JbQo$qaKGds-=)`L3ZE4BcEET_Ereg^pnm~6MLqdLq zpD%ht^fX9G=Xj(-V61myobdjVN7>KIy?jiIXGJjHn-jQ9Y?5~FN*jFI0-?o%wKQaEUxwnGZ5Q@AVBSyqA=}7rV&v;L<4Y?*N*Q)jn}>{wJ3(D z;7?Evrl$}ydKtKi2|3CEPT{l_!>Ge&ap}7J5+le?;gV-}jypaA}`YcY28@rl`y0Gnwk!&x%LS-WZ4Sp3b8w z;hVw+e$rLNZ$O;$s1t*Jxg}9_^*HyFj3ZR?IvM%-*6r(s`P2ZuE_NU$vLc@}*E_j4 z#K!rl36_X!tnLKSLO2m)HB@*z=PC2fJfVVdUIaedY;>-TidHNm_rjGi%K>XRE0iBVVT_K>)En39E6ou!feaOFTM7< zg+M-GhILH|c0szGJ>xSClsf{spORXl&`5doP4mQJ8zFwP{FxL{G&GkLH}r{k3lN$*A5GW@7b8O(s45Jv zrKeLkgC+~6jjS&iPMrYsONz`89p{$n7^31{rUYyI2X5+@GV%?}R~+tr z0JM$f^kC?7fl8zL5`j%FOrbK&n{&u>0qL_GdqZ`?2B(}L%0{Ln?VHFU)EFF07`sb= zeKzzOC)sOxA%ayPfq=MZhQ?8Hg6X4!N;@}_K}3SN7yGh`xZ{ft2KP>wx`)B14>E>| z;*W9Gkvi6b&l%VoSneaXPVyMfTf-2v0_5!5IJL2h5#h=D9~tc73NCpeQiQ=Xh_sW_ zWkf3|tM}*zRH8);%nd5NWQwpODx-`G*cq+zTbk;SI|hIT)Ssi%Pd(Lga;vW$xJjb1 zoLC|~ z`>q|ZnC!n9mv|TTIrWtq$lum&Q*I5{#o&xKQ945f1#i;R1!uQiJ0daG;Vdxkv(r(F zcTLEGIO|yJYc}`KCt$0VQzp}ANUgoFvrD0=d%|-`n`&JQ>*AOqf?eG8_4K+w+Wj&S z=LWNiKc3~nYY(U(w*G@U8AFu-eltZflG4V(A^@Qb8YhewkWm_N3ZE)qB|Zm6BuIqO z_W8J{rN`}>a0#JyT;kRIkQX?hLZWY=7{}}SufUOg^E#+qa38b*2!~&4^Ct%VF*fEx zh=I!eI6dGKhi}cFf+Q}?+%f^3MUZk8m`(he@Fd+ol9*p?1u$#iBV#YXdPTkiHR|Rw zK7PvhK(u^y137hk;lj5l(8|agE3$OzmtWkHO)*2XQmY2~uu!5cx)_1VJAEG1yn7j2 z`Gg}y(Gn&#X>>wAiynyD+Q(qAD4U)g3I1it>mKH&0czP59|%?%Vm)Y!-#;ogMtxx99=7`P}UyW zlkT~%Vc+-cHTs^D5>G9|UZ$?iRH8+t_aZg#^|+@4wUUTyt2 z_(VWQet2XPOz?GqOut0_(Rsp=WkLE9JbM3(&1G1g zJ3sE71diNm&l78uZu*HJYz>>vEh9Xx9&+VyEy^-k(znmxr{n%>k?f!`6$rBCWr$^1 zFuiu5yGFntdVU086ci0mlm#h!$1YTInJi_2F+-?ab(T!Ou;ZeGzox@fC94U4!dJ+J za) zamnVQ$FM`pOBZm$<8dqjZNADLFEbssv$RYyY>O`drv6Dw+84m+wXX*@VZ5Vn z5b_UDON-VV49Ir3nEp$U@qr1FJtYmh>Qv)OU9a;_8(H(uKMEmna%M*r{pc?YSOpON z57M7u&Wq5z?&938OB>4ZI)gTb!5cuqw-Y0)qgdh`c%XMfsA>06QAl|K-iUTr!%_4h zAfea&UnCadG`*(qeW6XJLtLeGM#jev|K2y3q5TobE^WvzG)P7W^;C?g(ZzLx--1iT z-NTb}oe%XO#79A9+EJ09BDF|ZnqH|V#9;%EhC7i*eAru>x2)o)tA^tEc#XF3ng)ku zdVKkZ4f`cX=f*N-9|NC)ta*?B?UKv)SP72&bWO_J{{apm`ziiR9=`${hZW~-$lo5q!^|og${C07%2-E8E=ml3J!;S$ z9UX}&?s}yJbI)3Pl0gi{Gdl8)x#EuE6zGm3+={@qF@;IE0fTfIpqfc6oG}XdGg(#< zgJezm`@%>+U?`GtEk@m~uCKfi@Toqv4XFKB@vIRAA;WsX_3h)*Qh9~5Q^2`1#Oi|A z|JRy=^CA?czzFu=$JUt03#RFu$R3e%uNUnGTHTf-FkiGev~`4B8wY~wSHn=sJcnkz zpew_ATbeBL$d46};}cIFN75~b_ZLSFIpU9u!GX$%LmN&czo&?r5k49Nh}t8`_YSug zJKnXtzop{Kc*osI4bX&XEk1IrfI|+d$2dS<4-XLdx-iTrh~~bPQDArCT&^60ZXdKY z=UGrYWoE;AmW3%9@N#By4VzrT9O9K%!@K?DmFjYq+4s}xIu}szQ*IPzOSM=?%e9j?6~RuFThy#G#@Yegyc#)6y7x|1wHXxo4-biAQ$d@vWaJl2A2=bh=fMHyiLF+#$?O3} z2We%z$`E-octk>4sVLS)Yyn)*QNfw6C_9cgh$fQwFdP8 zZJIRjR6sd5w_cR;^OEpnBb))gLoocghNMvH%-o<>f7AiDegpvo!*?hOBM)GVLjP2j z3#mL5Lrw_n>&9*2!+QfKMY%Jgj|!Q}A(tMw%y4(gBM|fP6tx45EoG>TUgjCJ5vdaB zBY~w#3gX6=8!eL*LS6~%9U_QD8wud&<|bJXX68x?JMTi91c8i_##=w<4$rL{+;Myr)9;vA* zLRkT1!m`>)=FSp42=+2zshiJJ^8*&hT)xBuMj-_ws$#G`MJ-<=7syzN2X+Jh>;1m; zP)vBOFgmnQr(R*DTz$Hj5JPK;dyoIYwdsA4(3tpHkg-cjuN7`&plh`E))OB@7%!MR6f zFLM>zLH^qcoDN;WAU}LjVX??hD5R9mMiD=23%-rooiPNy+A%_EueW~HTw2EK(Rok7 zFT$yQkBK78T|<{l_o>iz^Hc0h*|&jnN4_l!0topU^L`5iM>Pf&8-uuoo?e!-rVxvNM+X-?}i zXIs_Rh`Mmswf1FkV=rd`-9kSkb=u0ilh@QUtyLp|YvS^{QARleu@Dw;jqJqKN~Qwe zxC|Q$qc8oam1?3$Z1T^gc7P>Ilurh_!Do7%O5Y-L9mFm$E?2dkH(goY>e`IZWJ6ju zV%Mx__o(^2ktT8rzHh=^16TKDv#`v}ax!xT>qTC3`>2@IhxxP=OE;R0u@k~o%U<`_ z!kFzD*?fZsXO(inm%kEV+`VnX4@dVChf`bWPlcVRACqY&c*Q=ubaIwfZ9;g-6}|Z3 zqe<}6`NU-B4K5b4g64q8z%oZO)L1k;bE?5n$QQRo!m|Q^YvmtmqETT&ElM>o z$mQd)Vltr>C6`mG9)kONlL+C|4ET$Cs4i>@>}3T=fli~>{C;jtDa^QzA(KcLdar>b zra2T?KbZlL_mM|zs;qk``@_fw2q;Sx9Vr`3Ib2E=b0Gs9NwVl))vU;`^SP7ZpRqV& z{mdNQ&HV)@bH!1B`3ihpEYW5#!?;}{fA!@>SRD@6pz!nSMK_g6E1lMygn6<+VWA@?!Zl1ZpB%c1ZQ6bE@4G#~=jq7z%Z=je>;5|Xc!*w3 zkB4{p-)E1O=$uJeSs!LuIa%Gme+7G3f0vPy({In(&1Y2ayWhVY96UWe%~su-CAlwxS{dC{`z3}i|~7N+plNzs~g%-PeYLU6f`H{ zxW<4|65t9C_%%-@+p{=VLB6`b{<6*_Zh#6ro(vIJEA_OP!4;<-(^QL>X)-` zWIEO9yD1OOAJ`Ko3KbfpzR9$GygsseH0*LdaA|tL95|j&M;?-GUc_$HmDu&>|FsCZ zyWsJ&sjzkcZvo!io1$$$4ik_KGxqGZUS?HV(}&KdjPCj$?uWRdm)V1)CU%(Uv_nU0 z;;9?{-ClqkP0?u6B5VI9rv)Cpiy4!t!~@%n>M1|vU2fu>d>#RGb%L4n@qPj>n;q^B zk1~+;$c+*kNka_~kCw-6TD7S#{E)$asu|TOZZ#E>>;WRSB*B}nVvg^xt1Sm}Y#UT5 z9cT*OF{oUc5*2rSYX^Wn`8q~v5n0>lyNPBIDo|1_#B#xm>B3*v?pdRjjdv+_&{z7u zm{8>J&}#Z?`u5C-$irZgw0p*cdV(TeG{4evmK9#anBu?gR+&0AH^KA={Z2-CzbHcm zHeN$eW&y&ziPF88sPvO=8|5rkOS#e#Fp&y^HcD@sd>O$FGKe(c9S~SsyzN%CZ)>cn z&DmGjG+v?JYmIJjbVE~fEGw)m)9q_I0mTtgK+fx|97JXkd2pR?j1sI&pp_ag6=5ws zD>3gaR_3L6i3HT+R92$st*_Aaq6to?v7^n4k^tI`zo`aLtKGt*pXz5y4w$gSDE0+m z6+Ji60k8oF!}=cvI~AT}1q58}G%jT8)R@HQveOl}&P4+#Roq9qeTv$8Y#h^b zZvjZL-&Ws6$WclRmZ1SjqV))JVz3J~*;$JyFFM zO#z0hnClC_B00D7N<3GTewUL8l9Z)qRszJ?f_Ys06%s+Sb3@re&D*dLj#$!G0Qd%| zM+IaIhL@Ny#Dissm^dEa@G$!VZwiU-2?Alfvh$rZI!ThoO31r{dAL5hP9R~dL`|8l zE9OObd=%kb8NB`OmtZ1|F${V}krp+K0cm?ZU_bbe?*ymN@#E{?({1Z%`hl8Xs(}5e zMv}TBTlbFHQ(aGz-|!*!<$p*GQZBZt2)!x!Ln3xo>2$YW95}?HCo*n`?h5R3{6irc zEMi#XRAH9>Lj)nY-#ol#V3Zu&v*A>wOb~F%ZFwo;0!>PdO+OdzJ}pjj(*dKu-H(O1rCSrw)g927kTLtv^O% zB=*-s!gGZCsC*&$h5N`f{{>vS;$>B<{UUPVbN+Q(Z}ubdJORLGxp=)eivmKtB$#5@ zzf|T(o{-nDH>_k&^L*xN=nZ}#qeDHKfS^FsoY7HW&4kR$73eLxn*2Qrz@MipB9gMb z8E#7W34+^1`DIA>EI6H~Om`Aq`1`|)HOLXvTa0o1o4J+A@B3%0JwM}OaC$x>>}Ma0 z0D@kM>>+6MQ_ce;(odmR@n(DTS}~`hY8DDHs6Ma2E?PUW;JSpIPciq4K2N1NbhfC8}Eii z-3!7nWAG1M@6y#VetuXwJ{&SWb)oq1m@DHwBX}%+549mNrs^UImAQT#3&4n6eY4r(mx zyvnki6JKGW6dU!_FbCQs#GfyWwovpgln9&4=hKChg!+;t-Jn`;>w!f_NV?R#O;PYa zVm~AbwF}TM`Y-}7X+=mv3&i+vdZ{D_Ma~vq^8@DbZ6`hlh+hz%pQc7C-_z1zow7w9 zFPD6=@go$ep?Xr@!%Ey}9g;wh#gp|DZX9Dn#leucF#SW2M)q?Css}9xWBIZ^=jZQw zb^m($j7UL9YCxc$>*4#l10?i(N!@gR#*gt;7yRqUjg=`Li)EkRb$S~oYk(u4B6CXD z9>{`po?A5rAfE5XS~Ee`k6{blVSxl$GnJeo{bfrdD=eUE^g}Qv7Y?PvW$;(bF^?a~ za~fkIv~nS?M!YqM13Hi>vD%1}W|A2-R6bKOK!oK*yBhROyCaZhi=p|LgaE=pk&w7* z&|p}UUOX*{MN>v%1M`LTPniaBT9c?k8ON=F2dN1M)Iy#n&az}dA2ljzl6G-GY9MeZ zDzIU$fZ8x&y0Q@$XRWRo6Gro3Dz+u22TwnfwIw1R6vt^xer_Eqwv(yqtn_M$V&33E zb($p?Q!3y?8@hthA#?YSaJYnX2nfIQ;y~Rzv%X4h2v^hp$A$Cmh|eYtN||J{ zgWks>+b5g0&QXol$h{Sy3FeOe`&RC0xWeAWr9l_$R890v<_A=SJJ;z1tS-8q{mRVN zrU7*rLGN?L55kFMyOlxAlyALhX}IscMl*>nDG{iyGW`sJAFT_@u#=}L*R@^faqbl>xw{ybAKeU4j&$a@7z zV-3n^lH~s=T?lmVf+gZWp`&hYTpp7e5IIddcy3t76Jp&QmZs?gU~v#m-Qe1v#&6!n zo+{`htEzvm-NmmOcqOkudGyBH-0kBX5cKE?=1!_0c6}oYr-V&gSRHIVKy64yKN_nC zvZHa3Su@N%jyX!h?rEdM-Kx@1{`F6Il#3Sb zOThRgmph10>Ta9?NENh@O-3#MhAW626`!wzmjubr_A{4G9(HiYC(c3tyo)a(qwWrdhh;&NEN zp9m)=#H=(hJ5xdx6M)Ow38zMK=KqEMIfzG*9uL{@ezThj2&|r|pFfKUyX33_UI|ek zG8&4D8on3|W8U(qlGSWAQgQ-&A|av;E-#uh#Een_xlV30hBZXUX2XepR_is!`qI__ zb^jgAuSOaeArSXR_Wgno^dlM;(Ep8AydSq416YmQrT=W#Nhp+rm4*6xdt6QUzvS<6 zKnaOk06@72b5oVRA0YMeM+do>i}9+*rzy5<{Lb`7)BJyczKII0Yos^e>nLpg00}XK zs_5)`HwwJ1xXHvJTYM$`={Z+d_dch1t5)BXP75F0Dq`;GKUZ+qZns(-1}|a_=E>RO zlYcf)9$BLGGbp}PS0Tn`=*{O?_5ejVS6vUWC$vExJXnXq@8w_rH*q8ZLaldzqSOLO0vRs>_{ONO8!UgtbZfE3N0c%vV|q zuKjqPjRqBSO+J%pI%HJJqU9>#76~+T3djIkv~Sv|lsUyN1c&nvaT2fgBq`~^W}X45 z36u%img!qXa#y3?{c*TfqJR)Stg}(?KS*wtnixmIn>cN96i32Upd+MU_)*fe;R{H3 zqu#7W*8VD}15^@f=K_$Yinlm1bXrP`Bq@XF7Ynp*+VLtfNhH{#?L}^c*Ulptf2>>D z?J+V6?oEkHlDC5Rmhf~M3ZzNnVV1kJ_EqF&q%&2loYOUw4I)b+6#!4jS#Mb#ti`Z~ zFz&H~JF-E9zD!6em_%yX#PD@tnK7m4T3KV zT&Ygl{^4+yzy($71GT@NtLiG>dk|~VEk65dI&}!K& zGTziw;zEA<@XP9#ORK~&_f`3`R0XWzwy*gQac8U@Cq`fMRS1A_{JREIf{5!;m^jJT zuT+azn?tPFHkd{TEu&Xj0X*H-zue>CwEDX}>W7=srw~HFuGnNj>CEZsb* z^H>TltZ?}B>rF0is~Y{x@8^(RcIb+{I&A~RuNfT7c~LAkDpd|wN?w3!n)G(rM` zB1m(lV`Y)L@58qKvqJ{VSsWtYxDyeOedkBRZ{~K8f(dRI9 z)P}luM3{mUi2_!g__n^HxYZ3dE?WOmQF@Hwv1RIotLF}pZ ze_2|p|Dls=l3AsgC%%nX@Dd~U(fIHxWvl^Er62W;V)AS6kG}NrPB8k=TtVDV>}|7W z66hmLmJ*oDl0gl}fs~3OUco;8B8OfP;_t_`B9&3m*6e=3SJ2#cIKn_zKg-P;ESGxp zGPE!c^aO19Zgvd4`5U3s$x9%36vqcmwSrYRZhRo%f`%;yeGapYTq2WYp!e@_JC6ad z(<(tn%H{|?P@|QGFOj1ahn(9Z=SGb|Fq7e-G_L20;+*Cg#|#kNHbibj$te1ZXfoVQ z!8p`#TBJDYAv+?58%kshOkET`yiBftO62BFPVx!NbB3joTkQ9Z-F8_Ss^TEW`$Ocq7EAL0$GJ51A!Y~7juZCBtr zg$_B2M{{zxW_b9dty`gxAh3JYJ2t-jeGr9@Y`);APNhe|_zQ*|_SZ4NfE)~PbSBJ< zHX_G&u;cAoH?=>D3z~&36OHXc9I%q=jK1g0yugp)=!j>{1%?Mfo$^pNM=#AQ^yx%M zzAV_FA8T+^zp5{jQDab#br$COHd%gIzbi0r)@!fs$wnOv_qVGj?F>opJvBbT%ZIDa9I2Ij9rG+ zG0cs@Sy5$eEGeUG!vt@}nrq^wuT;^B%umfe6i1j|DPbW?Wegx^l*!hTXjGw2jF~o} ze=2$RYe+Pio*`4G1>TO4JV3KasOQwT<8oZ*6mhkxmq3$FJ33*Kcbvhp^bKj8(=AmN zB1_aS0gppBv>N2`DsOqxX!%C?K?YsP!E+kuOaN%+FRIn#m@jnKo2Q zV9D*YUH#`5n0HyCPGOGarC!$UMR4ODARFX3^W#eby+{S-e{L1y{xy=bL3z7-86#M5 zae58kuZbW1bApkbE8P;f5#oz<{jCP)VjDFn@F0A%6 zoth4u4`U@i9qFC`i*5V3*7Z0VRWc)EuTCL6C)gV6WaCK1Pp4cO{GS!MwDJ`b_Ae8{ zX@4aaIoTP$;%Ra(q-^)YkG_=P_N4r>rta{lqt zuIAN-9Cgh*GXW6wm(86CM0tyQ{)OeqrZC-Kjh@1`;>xv1MVD|pMu}=im&}ufP2h1Z z!l&$??(FaP;qe{f%9Ti=M{zsqV$+SxpT;L2MQ3V9PTV@T8yB@b6*6CL(7pBHbO4dc zK5WpnwO*|pE<31TXUT$h;R*e2^JS=BacWWSXjCI+5&`U#6LE{CG_s6fYP_Dd8aBz6 zATOP&N3Bq6i+GHj#M>M+RQuWn$M@luh)7#Ouye(d);>td`uEFiiEHmLa~bL zN-jH3o4>V^s5%$`q0w?j7uHaKot1mmOEy#P+v!wuG$SF&|M@&e?{I2nERI6!jEg~_z)atRM#k= zaC1=^E;mmnaRCkRd^952sgJKqqOsd(FPpQJya6nFi1X@|KDyYXT9_`ocELyE%w?Cp z*fN^CKSB5D>vCtGI;dP%b1yM8zIdDTT)*N~fY#`@^i-OvuUO{=mLA<kSr3}~jqy`StToL3RffdYBDi0xl~}Ex_05L) zO*2|Fb}uRe$?EOQA9c+{NjZM&^c79Eh+SG?Q=udR&}jko;<-zE``sJ z8bAL4ZTFf5F~$a5bLi0(drz#Zn#{F91c0h@ZL|{V`X_`+YU5?kdS`;?M`HES>IY2( z`@J>0s2LwA)vvKc;k|A5m!*V{(KpgiB9UeQnAVWc3u)nUy1$Xb%4@|~rbr>)Ozg0s zrFB^4tPfW%JML<743G+Fhs1)Iy^TeCr`>{ZHL&fyf|Hz+fDhb!?Gs%VDA9az3E*jB z9dVSE!0NkJNx*6}vD_KRt6lK;rWQ2U;!d+Msj00Slt@fh4w z6}}NUbGZXHSQp&b8{d8-X1y^U$Zxl)bPMsH;w6Y|xOnO)ln#S&6tp>_EfKjKix}ih*8z-bcWR&vHMrSB={<*hdH7rm(|bX>;IwbouVX*ws6g~ZL89@jY`|L z%}Phw&Pv<1ZB^Q~ZL9N~dq>~CeMdj^+m6_Czr+|j##(dz-#3dU7iP`__#=qM0Cq2V zuqUoUgvPpYPue1ZGdPlj#QAb5Zdt;C|0xN$S4rWw429SQw~YD>crauzG2GpVy&1%k z&Uo-(V1???_hZ)ni&9i6 z|5&?7)ljdUPbDNf^QR1!h>HQtPJv~Xbfx~rSET&&kW#FC~#%C~~F#>(6sOQ2_WQSqI9$Cwk2&@ii2?q^FRE<}udGTZ~B=E#?Kp;tQm z_4M41Ud5A*om;J(VWt{c0_>8+GA;L21llk$!G=g{yL+rBG>c8?S||>96#fkpJi)3R z2slT0HGqMNE%yg0w_V7%eBX8IZhOk%yGF+gEThyV!2cIsn*NH`$O_zZSWjO{;WR3 z3RTONfHUFxJ|1a$ctFaJ3DHpJ*xdoB)fbCeYJjnN8j9?=TQVq^mbL0Cf>oq9pMeb;C> zGr=uL*bvHc|7vpO<46^RPZ1v94|aQW=sm#1W{u0))>V7Duo@udc+`5_gkf>jYlrSm zWZF*Sj2QMxtou?iCTD>f6KL&<9^bp_UIt$Ew!&d~lt2Lg4n*NW!_rkTK1Ps+V|llt zL1F_c5Mhf(!%_rrMR(9J$$%~|H`vYevP4gil?Rxwftk&lV$ovufcxUF`~C?^JvCoe z16TxvmF}YD1)lHv`e;M^xFnVoQ0#lVY`%SNd{m~x7`1Df5fZSFOUcEEkyR6MW>Xev zNFf46w`eZnxlP+rj&_vhI=rS0uK3nP>?q))d3=zP>hS^a8R!>jXEdCy;-PI%yq4P~ zz>AiNvM5|qM1!`W*^(k2{P0s<=0>qkI#XSL99UwZtvpA|3X@HIl4gB?gNzAU?x_Q$0;D?6z^b>68+P-D zA#8N20hDDIfw%e|DMNCH=f8(8!C<1XcFwIUjiciHihtJ5R)W^AuTgFeHS#^p`9&-$ zakvawk*@QI1n0l;gMFq2uHrTX?KbEVouBbGV&5!hTbOKb4HF~|O;$JN01hoY2Jo#~BDacO@ ztn{JqKP%_+OeUWjq<~sL+ifd67PH1CJ7-%R0zF4phZm>ruWMY=y7=&8~PiHzaq)>Rh=I=rVV$OblYW({jVs`SP@Vs`6t4adL|7kCu!T0`53%kj?a zY4|8@8OOeKI<0<<=r8o);xHi9sfF zptDgfGe<+Jr9OPL&)-a3GGyaCyQkE44PqZVkjI|^d(1Ki({-h$#tlLGlL_#+i@GBK zJfgZsR9PE7KER`I%?5<~F6h;vj%VA4TVK7z0j}auv7u1ZRG;dtp0M)oVADKO;((Yx6*G+ZZ6nLMtSRR==gQFNQsbDeCSP+^gVV5PeFr$_&lg$DLZ2KM(N8@1fJ zwtWLjeZrxTqb)_7H`(qEn&UonR(x`cyKaK~Hnv2~@U^~s{bzxqGQoi-OsGEq#Nc70 zkT^F7j+L)tR&wQOPA)#_=&4JFgU^4bUjfA|#qW`UB`jAtt}4Kx zw)A+aU*56##?B#oeSFxTVmzvhM%4t$o`LCFQ5f29h=luFsA4kUgcudS2pLoM3Ya3_ zZir?0Okve;40#Y%5~KgL7F}j_-v$b%7l| zo>C_jI|FV=gB!;>Std}5a<>w2>l6&D|s^(c&w26L(hn2)FK0bC3|U4(0DoO zf6GZz)E?c{k~v7~JG)OvVZV&1xe+M1A&-+U=*kK~kk^GZMs<^?@ISF31>Vl-6p8{A zn!|7KUXe;%Q2W}{5rd|ucS+ovBbPaR@uuohpZb_I{1dU4G3H`eRoKa*14A#LFrSqD z!_w9;=4QE#_3}jIN4qQmv(&YqO}3bTwlD0#g3Wtv6KUP=5d)PG7>gt6t=ZEXuvGGHt`*`01G7e7zY|Bcr2 zF_CB{k?cDyo$~FdQ~nxo8(^Pv%t+23WoL(c{(2UxRQ>&uxi1+4dHcNHos+{fYtJ?Q zk3{|8x;}kNf6JeM%yrzRiFvs&ohqn~`LUp<4FQfl2#Ny{LAl^erFK;8W!A^( z(3jP#05#5We}KLZmw@iu>~-Jk$f&+8!}2Wrd@miYCN~nd($g5Xd~+xJSbW0No*z)v zTZG+fRS#IspFVcqSGLrgYIVmOHs7vxgnY&)b?3CY*8}mqo(SBlN(Ll#_pyw}4SBDM z)gZUPOle#qUy!tYuMWNK&bwnKtbyuM2dhaPE!%|KQ9#J!d|QtUJF*Sw`O|tnu~S}G zj8HLDs!2@gn81|Jz{pi2UW%9q?p1n z=6JS@UHNx8>DcvXWWpG|H|8@?)+w0Lt$a?HuQsFhn0nQ|C-UG%?b0i(lr9ZiMK)qZ z41rpB1RxPV6x~nCZRd~ehaqJM!B>NBb$JdQhRx3T({&O*oAvSBWm_hvm0R;A(ntcO zaMexcpq6H<0P?x6_kT1e1sbau+3r1&`Y&~ewV6X>3`G&2bqQa2-q1c|GA_P9`3$MX z3i!5FRDfIQ&O+A8BP-Atb$qt3rwNd#f6+T4Kya>mb=wP<7OnO_tfTjK%EzRT_Av`( zW2jACvtwh%$Tr~EercWJ{&7;aHsZf}(_Scvn)z=ue$sLi0*6>DfkJ)SW%WqWjkEva zjtf)&AGqT!wT|v)C8p3@QoOISCyD)lCniNNVEG4lT%r13z$1jHl=nQfe}luWz4O8Msurud$+MefXP(?x<}P=F}^??+H~ZdVMb zenXR5b_`N{l0hE0KME$Z%}9DFb@~FLmtT~89ooSF_<+OfJ=g!;Z)$XzwB4}^)iX}7 z?)#qq@cK-&;`iaSV%gRRe!snHQ5rn{+IyJtX{!@Aa1m)+PccSs3gUHmT!SyKGu@`o z_wRzAd7X$gym=j+r|^I2P5Y)Q{!hIr;F3_mx${lHICLAg2E#t^Q`P*iMh}uBCkhfCr5UHCy-!VZeC|#Kx>& z#+#8l>(0-mZsMw!ac`NYRqtu>j!xyx2W3T@8|!9Jq(J0iIo*j~P}seTho&gAi3s~& zC7Moyzq3p7N`aqWS`PLDi`w7T>`@P>#~~FwA2d%LkEG2mUi?=WbO&c3`UqS}Gl&8g zp?w+a_Y!!sm!TXJECDUVfP8&C)NA#Q#Z2}Z{>CU78Y|FixUh1%}BU(y~kC2*i-*CIe1nhWfrW#nh~63$#biuy31h$D7z3C>yEa^{T|}}`h*4qU zzd6IJQNKBRtZa-=0J;00Y&v{)E6Q1{O_=N0w^nNN%q?EyUyq`s@K{V`B{eh~=@F6v z`Gu)y+!O%?IY-j1T@6R)qem3;`Gz)cmcD$jI=1(da+8>wJ^J@W`E&n#lEThB|3f&q zL~xX)ps+Uwsu?(h%@=@FOdq3sauy;%^>0bXny`%7;EZ#JF~Zywky_K&t} znv!tzCWXtvEoZJ|@$6o6adNrn>*hS5Ys}Q)cZ`u zpVf`@GnxpynsI(L5*p%4WW;H{S0S&(G9uWRykGu4oK=4RQL7bwLSTFYR|RgAr)bk1D^E~Y%nhnv0rP2UNc}# zcg(k3URm6-MbNDrw$3<#Gk11Df~`ZSv25Sml(9>7npUfDmAR{Qx>h-#{nZrPtvxLN zbvX1sANzf~TXc6n(qjJ?vFAt9`=;`Gb^o)XNXyCue3)fsXLi241o&F>EB%BGy9>69 zIdn&Ek8a1tE-x<&wD)JZ+qqv~#>W^KKMR* zm}FY|QR3uEorus*uYtN0b!cpcP9<#h?YJsA18mm%CO2M}qK!C~uo zuOG3f%{Y3s32T;SqkFuSgl&{RCUFJYVbmMkyF6s{ zQhmEMMsD8##SWI(I>W)R$giqSrcYeix_%<|7}Xfi)v<}mKqjvv2joi#0XM_?ix1i7 zYj}8ZvEQB^(DbHPr$6|!P$N8Ax_Q}wM{+FH)|i)bE5~!m@`8WmM{LetR_xoa=O?ZQ zx2G<*{O=p%HOs^6f6pEV3_8J+tDoX~Dw2LLqF@GqVg(zpEOLOqnISdBVqB>Rk*q5L zwP2|vb7XMv6>Mye94PY^N@x8g-On?(|IHGDMD%z!!_}xE1-|W2MG3zbhGTh)I8EKL3)<4o#sLg50E`--{cD!^fVqq^|%8%jV)cC>UcxyU!8Qi3)o40d( z0G{sywQnQ!L`I5i1k@OeGw10O#1A>rz~L>6vM?w5@@LqXPX(PXaT-Q!L728l9Ts6A zQ49e${VdSCV)aZpZKP|HXeL|B`2O0DRvEz{GSN36{haGzBE8V&JVHZfZu%*0C>yW z)toeBYL=y*>Pil<1dD}9M{03mz+)1eIY>iIvAs~Z_TD99vQF`o1LR$sAi;DIP;*rxqS`Ml7}E_Ab5@Ri6^&NXqPPW} z4*Pdf$WS+FfJjvx!OFf+!vh`h(11w716%0`EkPtS$Irtqy(E7F42+f{!!M-Nb3mvU z#|(rUj!NbYQ|#YpJPP_KFO*UsT>w3(w2`^C7Fe_mf)$C}MWEkeY%?{cC%{0^aI{;( zhp;#NCP)UZM6X!CXoeS5%4k~&4CVn1{D;M`Lh_%(R=_geB=crT6Fp6=NA*-z?gz=p zj;LFNRx=}4c_SJegls{J;JVm&NF+b$?SQBKC7ncW8YhGA{KaU3@V?x z)(q*<41)w&_~Bv-ST8mC>!Ec%hVu9qkL6zswrQxuW{7v5fczf&q)y1h z=;o4JPy9bd_qea4mwYXU2C&cp3w*7c05YRI~hO$7-Y;s{(nLU3SuZU7k zvM3Pgx7<^8m1W2^htS=QmV0J;L4VONEt2yxI^@&Xdk;Li?P^RJB*xHZmi`o*giOW$ ze4G5cZWH-j@|<+6tk8z47=-ydu!%Y{ ztYR?D1EkAxLY_Wc)OexW=0=^?Dj{LWE2k?X=<8`X3z-8~n8q~N zW>a~&S~71jW01)T8{8zp_<&31G7N^BP!R3@IyQopiu(*#ok3hwRmt1tCY@H>_*-|* zPBJ`4k-g6-G@7?wL%ABt2PK#eLu27WbJEGULYFuI<0&yr%8Z&YVZ!H%>x?ujF>>R> zBc^sFm5PjDtPsei4(0mZ9NEPUlbSBe;nwxu)0;hJ_ybLbMb~Z3C_M!7U$&%rz}S*K z?f^Uer`QvhGS6ib;MGJ@fh`6>EQN?*jEP*Kt)v3VJK!xHFv--0P6=2AGP67J3l%c=*W+N4|Jn z=9vkwl1cf?F_*P5;qxPR0#k9iXdKukl*6=v#`qF~U7PUsDGS}OrlI@`1apX-+vWS| zI$JY76ho5J7e;F+9aQcglK3}RL)B^=h4}-@!z+>3ko%3Skx)IPuqAW&b0Wu^t{_wX zeRMD%lC1s?K(b9uht`M}c%*{XXl5e>V=-H6qiivZNE>IoFAiGuQyOO8hMTZUnvfcq zFfTHew^R$snNHc2t)CiUDA4P~M_4ae@(w@p}*sl4uU#hcx~$nv-ZWh$DBsj%Sd%Nd_*{U$A-lTxgM!3v}zyhbO8<94}$Wk1n z$%J4Jb+FRBF(`T%7oAXa^e%0!boM=Oz@FB}HLk#l1ID;M_9wZSgDjCYzF`_LU^fci zZ0Mx0fxVEJ$0R>oI8kq5A^v-+|5VdrLFSkEWF{Ic5hrsGH#Z;RZH23 zZF;j8}{+KFVmDfBY>z=KfBvU=X?KTw@mWF^6?+Df-hD^^@oYP}A%tD~9A7(c$l z3Y)4-G>#nyZL-+DVE)#|Nu74UMfhdnTS7J9KJTyc+uMP?+mv~|JeJ^v#!6s zK_ncW{Aa9(1PoJ`+_&;et>)XkpO?xz@uyK+x7JIY{`0V?fm%lf!2pN36>ZJ=>`1JVCSI31`Yq?Ga!{?m!f8ZQ# z3`n?yc34cg?Vk6Sx6PVs03VIc*3_pdNbUbr{e(Z*2VN{MRC>*do&GaL0|S5+ng6M% z6{`Kv?Ucg>3`VymkN4Xm30ZkG>TUo1|FQ4Q7p|91>(zUGwH`rTcD_=NW$uWbV27H- z4Evzn_)Y1gs^8|gI91}&F1vJzoc z8EWPx`b?U*Q)4O~9Fwx!hk2^!MpWOb$1b`wil}fwUjo7f?pvi&a<^`N&XF!{NIuV1^ZReyc{NHomt<7cLH@t$0RJugTSL1kt-a)! zL@%%@?JtW)5pQf-W?t> zflHf*{h*je6dMKs%gQCGFWU@>lHi>Dz)&m#R1V~zb^t9j)KBL$mAHAX1BGO4Avrdu zg9S*n00 zwA6y*j`h)rENz9kh9;4=EclIx1GCPJ``bj{F>JQEX`^@d z3PIxw0)S$(|NMSwT|Ez-%v!X3(|z^~lP&^wvNDZtK;=s27dEJ1k@qdMKfXx=;!@3_nt|In_k3%2*;p67>U^J0| ztNT-r!Xld&!h>>C;o-U^=FxmJa0UA5!O7GBteigc>A10kz5Oh${|JM{A877FDQD;%1r`321(xAx9{WNAfl9ByLqaNZs*aA$w{o zW%m)GVIiI5${#7&K9Tyx@SSKzz0$Qt1ZSPSpnd}FZOF=NKW;l5r2eznm3<)pu4N_Q zAh)dfrnf6760V{fN-ASVBFZXy)NNp`gCo_+Oko$9bli7WGaLnR@!fRg306K_iVy2e zL>FDW9&L+Q|2pqRqr?E|4x+O33q=P)5hz*dwuIR2{6A)1k6YWr?I346xk#H)VMuo# z6ow9n84-&hM5s#f%}(+9ck<4#j}yRp3DH`@lvS*ZDxR#0&8a8mBq*~xVz%8t#wN4Q zr*FcECnXVKwHvf|-%}9$j{aQVKxY=U=o3QG0FTn6xIdTl`syzDG-{J~`h{u2f3mk9 zY*Z$!hz8ve_WQn}7ielsV7>o!AAqsauZh={;%^C4t_Y-yH9UwKvF~LAN*Qn=+GTEA z{;^Ah_~r%WZX+>lI$Rgcdf8J=ymzsCQ79)#DO)C-!Ojhnk{^F(TTafmv~IuQXa7wN zc1?d>bn3EWj)9Ty(nu^LX4;lFF+r)So_4dT>FL-FOW~7T|3jGAPnv=51PA{;w-^#} z8~i7#FuCuJuj5GwC_F0~z(W2ty>0$8Sg45%NTk%Y=RXZoZFbGVKV$ur>RB23*`Yb# zd@_h3oCO*#7E}(!@5j#xkju!hqW+7{LFJd|wbx~VF(B>u+m7jTJ zY(=e1=AjQZLBX_r!D^T>9O3(8!Lr2o=S<@6_^%Z-W11_5^SUztO3BzyGTpR{2&87j z$qWZ{1E2&pzJl+Zu0NM{>k>=B0`k7#&BrpyEb9plJu9d+WYTVXuB7AQN||G;)-f2O z!f0E9VDJIWNf)aYZ+}E60^(bb{G=f8 zPq+^Ke{3;opf(Z#+6(lV%G+1zMvB5T$DMJknx}=OotF&8+}L?UgY8AJ*EeAX=wQqs_QK?PCa_IwnY{a%)1|N0B zcj=mj*NS49#vuzf`mCg9)IZjw>LiYv(!n6knPp>@`c6#%f=aw&sE*ddZm&!ATg(|D zpnoXlqk3ViiwO;H5X?YTVJ~%d6);j5|&G%H_ye6yK)^n>8>i$19Er0 z6W1k*+1rhzEbdZZxQDXyGiJ8m^FkiZ^(HQ!l>$uxX({9rf#P{OR%Mp&BAW!Lned@p z$}MCGoPV7^(knSFk%M9X{N^|&E=gI=zz2&j)lYA3DujGYu(ahVQ}-ASDMtVE+Y1RR zdhQG<`Iz-mA@FDI`)55qw<>={4buP#X%ZzYpDU9pY-S4i%kKQJ)fQ=eisHFHUcDc5 zsgW@TK+VStR`%>AX(Xk6^{Mv5@~PfWA^X#jq`##Dm8Ho+6q*58U^D*oLph)|^Pu20 zWfC_vdt$5)JKi*HN@#m|fuHrofz_lNQNS!lEF9$jvH-`Z~jAa5hR!o++9BK038&6M$IrIQ*~^|J5? zb%k)W(IEUWb>oi-7D`k&qc3w0@90dT6fJmE_hb*?lcF&SWn0v!nIEYt6lS0?BqH_O z?}B7a;fr1c_KUxH2U)YeeRSpL>e}cOX;e6(h$ZF}Pv!N!669i5->A6Ha;dnZUb8p= z4Yf9652FxS29s0d8qVE!(AffiNh_`TV*4XY!aQ$XvetNTUdk;tgQDA2dxB|cb!iuD zHGNQw!=md}fx4?Bi^xWOKwbtxHZMxy>Rbr(4IZ)3nY7q>see9bWBdc15I?kGXBw^r z7cY9usaV3gt}So^6JHe9Ds*n*LSO|9C8UPs&jG60NBqf||E0uAT?v#=7R{y^C2Xp_ z70wnFSa~h>7NAJWgTHx_W>RJ7sRtzJo|4g_8B@%ioc)$lLhLNvoa7@LlJm_^nxZQ` zl!{(q`Zpb^#Tt+u(>*M|d1Faexn+4tX>fVMYi!;X)P`t?)WPCAoM}j61#t-I)^|;f z;=(QmqQG|kN12q^^Ay#lwqNlpu~aLnnbEt=$A`w{uP*Lb88g)G0PzF<o;^ifdm048xqvYSy4)pmu%N&wIjc;m?|gk?Xtzb(6|8U|SfC zp#|N7#(;<$Xy%nl{kJj5FY+;!t-Y#p_NvezQJbfgIpOUA=k)<6cD{o}vTvx;DnC*U zyg?y2Vjri9bw$mw^Dau3!n#QmRn`swyAA1Q8`h5kN+l z)x;imp_Yu28)-&$UFxqrx|qswu+Ywr6e)t)6r^O=#6hd0y_x9}3qoj~l1o>VrE0No zQ-hpJ+l$2peG>lYGb6+yc(+hog`Dy+3;nM#Dde(0Et|{!)30OTviU;28w>Karztbd z0xgHffW&zY4AG)e9FtkV9f(6jf8Ll8k5`ycXIAJsP0~);6V+_BFoT}wjFR>FP=_jK z=rXm(=Pr<#;xVEaa*PDoi0YrGxP=`lvTuXfvIrO{9-gJd+P(cUf?9jgPdzucVhUhK zbB+vIyk(j)fp$t3tud-hn;a%L_Z)0VvSU{~5PS(%CDFrzbh=Bx=A&*@3CM12h9+#oZtLAZ4?8s!QdaUzCrE}Nc^vW}db+=3Kf@9cWcOAx9*y7tD zUEUl^99#?Z0t$+Im6t%ZLJ!`&wnz%r3SK24(O*1cze<%mi|MUW z$n_Mk1Lhtm4u8O{gV#8@+xm1WtbR6_JOm~rcpP8kUyBuLl}D z>r*Bd8_$krN{vJQD_mLw7p`0Ky5}aDFQs4^z*uHA^{;1u5NqgCqhkyBitSQ%Z+2yL z9&u|8AFa^TwGdnmO8lz#vjLJP>zsbXw_?m>4JKga^FLK&c^>Wn$QNDnHAITcH{#(zO z1ASHInWMxW(q#B4j(mX*jXE!)IKQI%T-6=qqn3PP^0?Zl zgt1|uD@YGmr@K2oeo+csn@6WzN}r?)*X#xAUD=++k?H=6c#(<<%MfI*`T6s@iMF zaE3xw2+HpC#up>r4%IIdb^o(VQA64J=*Iup&Fiu1gwlameqZwNru_W1;Y`s&L0?HZ z(d7dZy-1wh(f3MC)c9_AF!C&~r$@_c4~W2GW`-5Dxb2SZOl17U=&2M9{1q>9 zAlNXLAY0aRw_|OaRNFt7CumdEqTdMTNJ7a+`gLRW)%nnHUzjUfiGj1m~+`nyfkGm~OADn=#-;&cLx;&!m@~8saqw;$+o~g?%@)t#%4%`?z zj153d+iB=%dD<*e?2Si>CZPh6Yy_Es+%69#e)S0a0a78nREAB6EMH8lN>L@>){@dG*O&T9K7@5|BOB+ut;OI36+v^siIob*N~u1QuI z?z|Qg90ZO&eC&{+H8fc)+Nv|D`rH9BXWf%e58y6|$rmtB-Fc^zb(r=aeZpJy;MDH1 zg?*vqn}#kS6u`_UVZz9#5#4)piS%|q0nv=ur;?sD=)Ig>JS3BWRAjX;cu-!=oe_4d z#*TFZB9<<(@DO&4(anMQ7BqW6KEX7KO}r?0@N#yASzN6y?gC#&;v@A83f8hvviwRS zBJ7?qjZ}FGGokEiM|e*>3_v&`{PR6b|Dk%$FFt*V;<`XsXv5vBH{m_J&<4*izIkf3 zzYT#j5jjYkf0~y-vt8#V&xprp$@Iz8v^!R8cek8`O>uis>(EGhdt&m1xrsaTTsIyw zx9OZAU%SYHU2t1jv`JBShUk39>9M-2b{CiY2)7RGLA%LT%YcFU0mM*ansHw<@foV_ zal|tql5)0|nje2@k?)XOix19q%S=`8`t%5Lyn_;n$@I^qjBVn2fFF>5rpEamE0%KK zr{WS38m5_b#+qe+T!b$9!WHei{0>}du3SDEw`{{w+3rEJE7%uwe1}Gu?Q1-2XUEF> zh1wEbZ@2=MH*X%<2sp=6^KrP`vB*h=ex*4|T6mL!a;E?$h4Z zR&Hr|gpZw-1BgvtTr;8Ya^|(m8QIYA48Z}Qnbk0qoJLQ?q(k<8zM?lA1B6{l%5O>V zl%v!n^y#zPt`^Ng-w<$v$^B~ZZ(^_FtA75~d-!l!=5@Fa_I}@Nz>tBp{;j~Ex&u5| ztV|5FgT3s60!w{BnoIcz<+3-3+25|aj~&2}lG2*R0Eq9%H;zg87Y=k-9$6G1CgMFw^& zwQ-V{@LxI%ewk3Fr;wt58sUf{NT_L4urYRd%Y(xxxhO>wX^hRaIpUk^wqXOPe3Y>Sj$G-AlZT%|S^@H$;JQ%1 z?JPR6zwwpPK|oq(AROjGE?nBU;UrCH2DYUq{T&TW;esJ+N_pMHDz|NFuSo25ncCC< zCJuPAItxZPLsSYBtpsRy5y6dk_`I(Bc?A)q70fp+TVq7v9)^_wkAYVtPmA)%!TkfcE6!ue0!@W*|(dQFMjL$?(E<){^4^(6{}~3hx0|1hnthL zn^&%T@%bG}-~IMuXXhSpJpG>2^vRfxuaixf*~7oq5q%A!%dasUFA)A* za?9FAfRhD)WC*$5#e8cxmTSnl?p#Sa5;S!h5O?~vz3>U2UzSU#-Z^cNdOHH6J+K(SV<#i#H zHhAvf$uL_p|3`u&sI&|Bc?$6=2XIZ_e;Aq5wUdbXj>_@M)@5?~z00S5FqHD}Je@7j z5uI~hpQ#HZ@53J|ciR_14H2u8iaGS}``1#2lwSQB6r?|5@n1o33ruH_r zHR67aBjtT$Y8C3A++*+pHISPM$zzHiC_(0 zO(e#ASO}ZZ-CTbJC8o@o3v`n?C#bY1LfOBoE1#Zb7(9xlSLTPsJq_HOq(gDN($WzS zXz18j+yb962uO{`Dt@q*5j=jYSjxXKege)A^*>iWxuSd+{DB3uh@1htzptWb57+tP zK&QJp#JqbXv0C@@`+rdfsWQX7p^p9)UMZ|c-mjsHsS<|foUF45rhWlcMqsL-@sNT{ z|E)g%jnvX}+v7f;b1F5W3R1}NaVDRaOmOs;Gxqi!=n##I2k~X&D^BElhjLW%zJ#a; zcGu$lE6t0P)Py6R!sn&YKvo**gP-`v<2)X_qdjvdq35rfv^_QQAw4;uBL{6u;!k#mj@ZrW zLz>H_td5gETB5d*aHF`rV^Ig=9VtnYA6ULXTi=&D-?hluP9t-Up)j49%22jAekQ!6 zJbor&0qSxekF+`e*-k9?3&P1xBeJOGqc7T0Cb+iUE=bX$&7_QW>&kCwrPqSmE5E{$ z+M^Mkpx~xC&8RnkqJ{v>IX==-+JH$s2k~1u-FF6K0{PXPHVQ6Ss=bqRbo2r+*b;>1 z*xW)a6hadBuFg{&E6Ojuhs#eh+_f55Ju=o}&8N z(GzPu2Uoq{uUS@wz$67zX{rK18cK=pgG z63DmxEkX60Tma+qUPX;24CUU%)z|bc%@A7wH}=C`(92-)v=vhkt#cIr;vzvky?6*_ z)cl=0a-$zm`1HI=Lj1jEs`_;W+o(k}Np0OjKUWSS!E*pm1uk?YorB19c`Nr@g2~mS zBZdUl;$X?8qm>4oxxo8`gmDSa)9k{AM8@B-l@Seny^KJp07_p>$pdaA|2G4s2kr@c zRW^gAapxENtY|n5p-4#n?kKOaNCmYjC`Hj+( zwe1;C*l`bSCd}U&kJuIcs$Rd?{ZB-$KRiQhNj2EwC5Q(;4ak%S?^Kh(7Hg}^k-|}e z=JyZss>NoORIAh$KaE~jv|&Uo*8a3+5`u>Q_S}n6aYAzGHF_0M2C`&9!qWB!Ie91* z-{b5>*UJ%FApSQmngHV&$_H&cJ+B|(-ixmM$FNoVPm_Cgv3>zk9`iI?+R=@fUo}`u z8a2}u48eMr*L!=T$ss#v9K<{xq1BINlNJ?_8T=*zI<-F*Sm(J7v-`mhQ&J&`@KE+D(OaR+-Kh3-;$OHNy2M1}AbWgL3fK z*PnwX%!84A>4W*{<9TI7JPpjRO%fs;5Tgyd&WMc_o{YdhmPcadIh`luPy#JoPCior zstGR8Su-cPDaEQN=f-BK*VxQ^M$Qt4R;;k!Y1WQ05b0k1TnOZ!C-Kg1>CO)U&PdT= z%j;DOQO;=R@y?uoE=Z3%!}~Xk<32KPqa?gEWFZ(*grW>}i@xZc-(_x;u|iX>F2m<9hKj5JdKIs;kM!0|(T%%M+ zcUU5c(D>L=9`*Gcw@fF4ajxpxsi+S8m~f+WW9Fu?HSHENOrt0s1R9;}lv;lA)tnTb ztW6r126_KMjepUxL0Sjck~?f2RB;*Kl-}x00u49)BtTYP_0r z$y}ibn1q$}Tx6TB7D6l3wHD^FPZWzqwYKz4u}!kgEzy3 z)9d@SYJxYf3LL()begQHGOo0v<-8|JNf5o)NJ*jJxP}oCmwYD~`4x zK8lWKya4_Ej#meEe!%>SAccvGJ}MODV?IFVWmZ;}k^`!K8bWHhfG1rrIfn8R_oUF@ z&K$%MN%3n{5D~_O0TmD?^NZA84Fs89_#rsItXGl7j(1bD@e}6y@;RN(X}{Op z`6T)*`P=&R%@3V&YOc?LqAW-PnvdlIEDDSO8Rmb+ZNZ9W$OlMdE!A%fw<<@hJQD5+ z0kvM%X-y4+Wk9s*Whin2!k|ISL!Lk3uGHW^FBPn{kHr8}aKTYNGU=@EY-ZOErfmig zeH-n{e&7ml*|`h3^}a@hfT;NkeER^PQ)r(VK6zsGWaBy8)A(eYBN}tp6*+ru&Et4s z7VSfV>^@@<|CBQEvP6t2c6` zz+?hk@V45%Iwkvq#kX*gpgCmu4pzGWC{<=`;q%AYZ-D!4xsML#X?W`CEoE2nZFMwh zN9vrMcKy`lih8SSo^oR~hVRS4uBU+E#YJ&)(#-aTe*#^J z2n6L;A!jFGg32u>%1U~@U8~n2wu#qlci~3=lB=vE%*sNp;>=B_B_v5wR#sc;_fna? zl>mfolhgtluA^dBha{m2f_4+#^3cSfQc%Xxxqv(WryB3@K_S=GPx$5?Sgnz)JMzsW z8eS2bq-SK!3=`O&@vw4s4UiwN$35R!dJp4skuhDkPNxqiOIg!hKugc{9=jcj_`Hno zzv;RPJ;m=tTn?u(QyN}K=DQkBWe?64aRrFHej(F9md%XngCU<340akY(J-N2Mb!Ky z%mx@1`59ehq+8K&QsrhKq~U8;=yivnA;kg5)YqI#T`pFk5PAOvibXLPAr-{yzBe;D z{#GGtrvh4V1@ntQ2QnE}1#Nmt8cvEG|8iUvpnrE6xzu=CR^Qs-%k<@rC@{zhuKJAI zOfLUC4ZDJ#y`m0lcve#rFw3*csR=bPxB}qxF4(+;O6AVOy$GxKjE#V&QX0p_ zU=qlwzRUH}>gCdMzR&fmnvYDemS|<;Jmz?w69s6rAIR8wGvqr5UPury;o@KT@AzML zt)N4VQczo(>AzpK?^=i?OC-<94L(g1vk~3r=O z&AWEOv@OwI8EE-DcdFgR)nnb>%3I`9=Y(Cdu~Nr!R9RY~a~?RuhAys35C6Dl$}hHG z^lH4nk1j0uSkNv**V?_^StSTf2LK%OxK{K#!_QVC?3ITFYJ_yF_G<~MgmjuO9wyRD zN~DW%HuK4}nwYF6ny(SoSoLy-qkC_vVJeyG#K!#rA?OZU(dq)vvr#^bW|bit))^ee zvSOPpw6Zm4V>)cAEf+qk?3rhWxGcu23;gBfvE^rSW#yisFsv)1c<>Yo_LxPSA-^hy@WMMKUOJ_cH?z9ldpNf=jH zVP)VZBy7mGk?6k zR{?|oc1Q5yim8rlp05oWEgz)xHdF0yqe@=Ye+!}!MPz02K*?79@;u;6y-L|Dk`#t7 z?^b;Z-_>6p8Ww(G5k75d3~LL4)SS78-`Kd_$g0^q}4T(++?qI8Yk6j9F&d|cs&bR8N` zi0b@e1laYM-_#Be)ly9+63)`IhPHY|S?I1OOC@@31tUx$vW8xYfKTx{OHifwVI<<& z)>uH=&;Esj@ckBg3{|La+h9t`rBr(47DFzdE1|r@nXrc(swuQ!(O_TMGrukyhQ|$F z(o;M}?{jb(|4I;|ArxI2-wle{xO=J(0(XS40$6J|vBP-4_T?AsrbL@4ENKb0XVv?c z8y8g2rnhS(3IEgFUrrfT^C-H7G?Y_+5aPVlIR^U|Ej zc+J#RLhRkSqOWat{dET;xuE`*C(0->U@S|%NCjiFEwbp~cXs3d#nxK~we^PIz6FYF zi@UqK6?buBOg_v~s7Au~Atx2y1T*O?*XOw(3NR5au7M?Z8(E7T!E!r1l977dywOXm8Q5ocEX zEYFt9#`5g$C-X4;v9ACut_*UGnaB74Te6!wsQ1w;PC3)X>aw%v)rseMIv%-5TOE!?A#Oap2<%2F8F8umWzcq*|Ckv}) zD}DiOeh~%>K4(7B?=?`N>xkkOyXeqkSfUnQOYq4MTkGRo<0x^4#FVXner6NQaSM`r zcl`tuudX&d*DRmsdiNV-c9)6R6{auL1%s=)eF9D|wvIMDdotf#RGx7ZNzekG&7ddF z*MZWb?K(bd8;5pYbMBXk8KZaa*EWyUzwCB_paD3!>@QAo$qP0JK4O@=Pul_e%SQh4q5cf#6 zR#A)K?FHYDjed?ClD1Blx_O*%{3YpM1HC{fpzV7tae2Y}*SfuRfy1}8BM{Yj^O`fv z$Phx1Eq}+D;rkQNP%6^ zGfr~*xogf6d-2zTin2#q-s36^_P%j?$29m7ZCox74CHnk$GgnHmoGge8H6UN$>*B*@r4T7A_$Y5dNy1g|_(`e4r zm03GcnSR!9x%o%wK9>M?<4O^oY? zB}@ArOa_UX(RP%L`$fW%=Wwn0Ar;A6hh16SSd?WE(BKHVR*@>v0UFF8$;{0J9&=p)2LMW2Qo)2 zttQzW&ckeS)M*|is)%j;od1yeGwPzw>)B@GRqycg&}&~o;9YCzBVz(x=YOEklfdZZ zZ%?0ZSd#@P`UD$}^kcR-@`~C{5Lxc1vi`&R*%gpo6gvo2`g1~4{GP#`!hgx>ABa4^ z=hHO(k!$?G_~N5NgyU~--THIl+dORR+8^8b$n_QmDQV(b$$c$gW>>OBy{EjWzsXQ- zn~VlyxLo?6vu%rpC$Zd7dto66ol>;$T2Y?^7InlO!$DTZ)C8b<>|I#Hdz5NVmvf8q zFe!!XJtQX2+cN$Ma11%2Q-pdd2MHYU`H#05jMWuqy7E;s#j7XXGoTD1vmfzspSM?c zv}FFlwHSBb?&APHB;jv%sy!q{!IyHD5VXLT(aZn^ZGLf~vL1D^d&ST?^5XE%CVBp0 zO{UelQQJ0E(sdn=Z%zpKQ^rHS_P{4w!i@~!yT&CI`G6z6rM5Z3-6?2E@{`KTcda=l z-tP@&+|nf73rG>@P5~?oyi4OD^Z!M`#M-HEc_0d|m!1U95YY>$|mq*ddd!{rfvWe4PUs9CwVh(@1 zDZ7vqV5SwbB+LwoTteek5%%*GxEKC_hHb(&YxIC?wfmhcm9HV){rOOyme`uvDdf;L zJYd3#5J;~jAxRtBOvcoxz1hT6M^nX;@>ROYiNYNaANrI_k4|0SK~b!sj7#6l7+06T z+K)4sFP>r@X$SveHMsAhsavthv1(NKCMQBwbxIZq(L*rVK1kLi29ez)X$qz16KWlq zy7MA!(-JgZllv|V!SBpr79SBvCc+tY4%Xw20Df!Pi$ts7F_nQ**Pdz?SJlC^tR##+ zh^eXz3~fMUO)dGzpvJOH=%zp961jh`4$Mdw(YRBDp_}G!k`8D5t;fDh@UV#CjV(bIyZ% z0}zh2gMc+2sk2P7noEjpRbz1(;&9*mi=IFNVWo=;2j1bnd}L;Yy1xz)sE%X#PoB%I zVEVuSEbVs|$#mpN3)ru}C_Qs6p@^z}^AhslR`ZepP;yySRau4snMPqv2*yqY@lkb+ z7&^PbPHvY*l`*Vg~70%qC| z_a8|0(a{&-uj+sE*GMrtn9gys%7AA1MZ0;%qal5698!a}_YU0X#uGjlg4LPZth+;l z!hw37X}Z1q!{5GdP8Zvn$hwPO!AZd(T`Vt)J+aL!Rmm~CI4<~H*iSaNpU(rMHaB%M zq^_?C1<8u@U492_6LsLTJJd0Cjb2tYnL~sdfC}|e^bY@o$+6WW@WXJEaC3Ks-xG?Y zIZe^T?qzLZxH0}}yWAfk zueR&tAbAPb(G{~$&8QQC$>3d>+Y%|uqX>sa>d8P|7PFvHMFd|$ZuiivY+(kQVYy0Y z3eSp9?HVsNW#jV~&P9abBNGf~(#x+K3Zahh$u|u!m{CE0o(6|Rv@zh`I2h9jZ)u}} z#|)w|IXpy&7|`&e*<#Jk78JD_V*(yVH9^%ClHU8#N}aen^=Sy8kdGP4ZfAlnlCl+F zt?F-0t-puJIlc@CBWuZW8LJR4&E=W3l=CZ7E(P{)eNHxiI(dxZ28=mko(CuFP|ZRW z$(mb!B!;OUa4hfs6#yFl{b9T)YY%Gc($=T;vI-nJ)=OU~B>j;l)x`@tS+ezHB1+!AdFyrE(t zsL$MbhYIv+K5q~I6-^CT^)_3r1)8}&(nK+ra4i9nWR zvzFNW33<9;Sr{2v?7D??bm7T?)0poK}SgwasO`jj-f+sqG7UGEA_EgeHqza;I_0&hUbbgEjs*HVvF6+W{(X z;}QfcQh~EP;n7Q9r?%pG1+;m%()xL$g68EP;dEifGR7X_2%^L|Nz>H6;OowAFk3k+FOX+Weh<)vvYRiTpmDr88HTFL+ zl$WR9h17N-K_C3pAI+Pxj#fTKE(qkjA}WLwvTCO^^}T!u8@jLV2Bjv9z4*n0UD#+% zrglr`ke$D8j;eZobi99zD%Aq?M45UMQ$k{w2>a7zm}?Xj9_>fE`7^@hMDH_zEPQ|= zOYW1|-lT-ThesVw(+i8|HUFvfUdLoqrJpJX0IVPK=A}>_!9rt4u1UX&@H08JB^&>5 zI+@*KMe{W8J)ljmklyZS7=ls30|nbx9Zp1?J`pXkoHD+`MNBno(1AXT+4;6jd~5`# z0{*#5zzpXQJ%UT{xzhx!a0>(|!Pbwa5c3e$2DTw!Ta@ibk)MJq6%zwxu)P72dr34SL*?mNlIL^F1Kvg7n{n(DZj(P@@Ux z>^ZKg!OVJ%LFWZ3t0=1F%EJy+-x9y}aws7ez@IpHh!5YL5P3D}c4ns`Qc`J!#>eI! z)odI@JOxVucYY@#UjVEgZ)qENRX_`~+v@2lArPwB-a3;LOdexiLs_mnb0P1s%^q*4 z3^uo*LyMY2t`}E;?GPt*tUmvo;*`*LTsRk3?ST=I<9`=%F)FBO+>19C|}PiJu?Y#2NwC}ALV0@DI>%QuekZFstVcPfdAs;#Hi zmb{cj7^SC07~Q&{6L&@!VXpqFqG2>t0eFfe#S)>y$LNn5yAdV~9)b`P@$E^`5<41( zy&V((IZ5kf5@6rE$U4ut$^`Fp^=>cRq3e8}7&5SPE@sRDU(^XIJMztBip zcJ$ak-L}G_slV>I`;d%&g$)r6W~W+*6490*bb$X0ukm}#fD)VJWs%REh5mpU&>MDo z!Pqe*P#GAldMdbDWT{pW$w%LtSYS7yQ-_sOb!p*1QucY@#I_46%pxrtN5vX*|2qwn zuA2wcGZw?OalxPh)R#9<#`rOEz^aa(d0`n~VA}6fbVO)eP?52sDW;4tUQ*e5pPy-C zDZ*f$n@MY%bMZ2Gc=ys&D}&G)ql&o}Ooq z&GRuPa5MH8OZ6Y`mg-m$?P~i%zT(r5z%YLD=Y5VmO!z-Qy#f*Exs+Y$1nSedbIpHF z0Q@h5LRR!t^_=7jR2;4w?_GiW^zK#&DPQ^EN!F&4^yWl61oPNTw1PuoV70fm&769b zbhJALi*qaIG z`&nYZaaRwP1CA9VYE&zur!z??lmi)s-9?d`@oqtv^4e5XYYIB?r&+!bQ;(j((HrbE zQI1~qm0~*JCLF6Na{Vc`r_6A-Oj@Yxqu)Yg77ihtYVGi9I(9#(Xy?)Y#!9O?Qq>(s z%P<_o%Mg(zVpy>0=3p9qU&yuR-wolh-@S>OCIWWJ&+|-p-aP1EGUOC_Y6E@XxlhG1 zj|%X#TtG7kJ;x1x<;YSY8oKNdZdhr6^%5KhFbi>s>6ZngOS;j|jXx&9nv!fCA`C?F zB8hV24%%%Y06i>4=1cMq^rChSvup@EQ_CW^sGqbDW73_;ng z4Z}7i1%*UaAf+}D!dfeRs7{jZ(X(V;)3P8(Mb%rf#8|ZE7@s;h{4bkwIh?v~-gVQc zKOa|%v}9gMC6cUt^M#ZxCu^j(rlceP= zp1md#x~|paD02l55TjlnM&W3GN(oBtWZ8Se+}`N#*sQA{_c}w?xEj~wiN2=N(Z0eY zObcDuQEk3PG(>VGal2uuvNd5W3BJ!nv6{DZj!Z8tMKU06jdZ}67Mo$}+-U$Rk;p7> zqz%lA^~c$P2{hO`_jJ5%qzrnX&98`#w5V1BOkVus;=t4e7NfX)FI6bfB4q+HW(;hQ99l?zlmaqlZ^z7Br?-1xg7gnE*<(Pl`7ivsI1S@~+|7b)12JvYepb!G z>8=HuGw8_9T5;G&Cm0@y_>|QViJL$mL3-d57x6y+2;K82Q&C_==UC583`WhbXI;`sa z2q{*-+uNnFo4F;5%n=owuLf=s*}lqQ%7^hXtt_a}jXN)(uy?A)c@Y;RcRG6H--0F z30cp#5@@Ul_Q<)V+ptdEuk^bcku~kPAKRh^EvPdoCx@nRta*v+bl+9*YdNgd`bohu z(|hhgr7qR|g*Ta}{>3&mM4@;1Pv%8#m{~fR6L^$D6qQk|ELuAuf5s6t{Kd%ms+dbS zzEj%^{bN|kBnjL3aKpguaac)0iV{KjNRP(@b1GGa#EdSls(bcj3l2^L(* z`Ef@*(C_Gb-KZ^}tR3`^$~h{%zl>%0#^X!(bSenN79>9ou*XYL0QvKJ(G&1N$>FbO zQrjF*MfbV)wyUFx{cF)iQeq=! z3Uyl3bvO8a=p|H8IlpYG0pB+wfS!NaM2^;c+v}9vv&KtG{$L+3wNJLcw4gt(BjRCe z<)n6gEM{p40zuErHHPE_3R0O^=KILp#9Qxx*xGq)$v^N|{h! zh2aw-0RC@^z>Jz=$Mz6?D2WlUf-$9vyhS=Qo*kbc&Q#anXgaO%6oIA0 zh|G~c*~0%Ea{2b=0N?-ZfF*hux=vR+NP#Jeza6%oHxZ4DnKQ7v0b6}g4UUWRa^;>B zLP-}jGcW0i&gL2FnmyPd2jw(-_M$q7$Jbs0(g^G9Va-SS9eBj_ zjR5#y_U^v=5`Na0-TJskCrA~!lZoXrDy$l@v{5c(5N534`_)@=@m=Y?va&G6>%va( z2IU}%M~`KY@b67X1*JCLL<9if`ti#642UaKo6)Z(8(*2A5-20DatWuSUv__~CU#me zhmuBaM-o{Gv1a8EtH%tYoHiIQ2YM7Po7s{T*G6>EDI!+O!hfM+8?qz>{!-*G5>~-D zL)pu`cl?oefr86SU790z;KZi0RrfEqzk$M&cI#=54dmzby0TA|H*PvDX|BO`LM_KZ zS2R~EpdKU*IDIfeb?M9dclLo?y{_#sc~!NZhp?{ z=7-!Ud{P4s(HsnSTG-DkXfu5~yX}hHzZuWX39#U*d{=-io^A{=_JlS^%9xXtXnj`m z(A)+}i)OZpqO@eS=^RXcGBCr}Y)Xsz*9C6Rw$F7mha#T09BP?pXBK+rCW*J~M%v(? zh$Byys!rD6mA>IND{8fgIexx0O$XWbG~MF02(LzUjbzrrJ|BKUKv{BP*@AUp+b;Z?<==N-cEA>vX4a^x{IQ03Y{y&Vn^(vnK z!>0AaT^4r7JVB|v!;|!%o=`|?ur0OB6VIEbjF7;ngW>RFAobAhluUOJ0F`RO9Q-%R zQgv4jD4XN>wz>iM3|l?w>56Y}pN#5v#=R?m`E!-ViF}o*Zul~{5sBLaDMPumBrJkvXuJ^b2772kt2v=N;%}(G|2*9XHh8ap{c~T?t>uGmc^7Z zJC;Q&ODCzKk{FBPtQ(UN8Pi8>kLoc;*HLn+p+TAfl&ZAc5OOn5atH3t8wlE4Qt~T7 z^2~G}H$hL6r?`wqCH>CM5()^lm*2yWfC{Zl+uit3oE5?fg{S4F^SYk^v;0KXSjSgg z-z#C`Nw2P4dy6PLBC|+YF|Wp$TuDUaoAy+D=!QJp7{ln^y(7Dugc^=@-As_U9ly|r zQ;|^1VE|*46V4DFI1EAPGRcLt_WEQQv)0_;ql&FablR|Q|tGmdQuzYZJu-eUPiPJoq?lkDB`EPN#ex#r} zqc+JpKm5Rs7NVr?^pX&2R3pLtAWc8IDHS8V73Gie_^73OPQZ^fjoiRNHP4&FBLuY> zK{6MwZ!dRV@M$WTc_MLOf8hjMpeqD7CDhOV4+W#J(cS6yHb&keyI91P{Cym4;Qt8^ zf+!CbW@fsM=mKPiQVoclpxV{F!IE~z4Mr(nuQNhqp1~E*+s-PT$PPm%r@>W0!-mBj$A9ubR@84cG05NxN)VvC;W7pQEC=a7CPlSJopLeHhL)G_$ zP=(2-CP&-u#I1q5PyVLxxZL^1LgrlY(rb@*APV(~RPyK;C2>33z4jr$(ssQsb_qRh zeohkV5N8VXDW+g7yH)r8q-!y!Z<%`*bHpl^e{>c=9LU>Ixix;t~ol z71TK6F2%wp{d5>w{{~M(ix)$aX2d%G@~d2#9B*m)ui#}3Un~p;JBywny+5DWLbgO| zy|t)}mWL6%D~pWqD@~R03b2!G7AWtqX2@n`FJQjp$A7=6s$0-a__bEL7p7s3BZ=`J zh4GLi#hx1p^$#X9B1Or(dZJLmoyd9#dQ$g!{(6!P>4Ovah)N#xy!2x5u6PWlfh!t2 zuG3T#<%WaB7^#{mthNhb$u+Ej%jg11GUZB6zi~?DypKb4+o7(XYXLJaG;mInO|I&X zENkWOX&Zr37PL3N8@-YpFrS@D!43#u{w#b?o~eub)=cwO1^JWYrH%~0eFx$8cLV(U zrv>$y&!as@eg!lo2cDixjN`pEGqn4U1rcZYV^*1O)bD${O{Y+hy zQ?iCCE>s-hAlfsZ%(b(+1?1`#!pjC?s63Z7X8$7i<dU**09q49UAMpYXrR&#`qKQ7Ati@@Br#*8&2(AsBG5>`u7FxN)6D{Cwja=Vwy zN4$VHX;p5GFdNFAO%vCgw(p3tj0S%r_&rkmj263x{So`9JPQs8i7?L)-c8F zDkS(oBAwVx_dzlvz6?y8a!b3>8TC@LyG%0^Q{#rPEoIQ|g*RoQv3g`}Gq?k_U z?#%ipG|x8rk<^w@6Tx(M?Tk;dZ1NXz6@0NUs#_=)w3?T| ztWKX}?D7u%{0j59mVrE^M2{?ebHnP2kLzuDD*AFnDu_2w^Arfqyw=TQKMCp5spO`% zCp_($kKj*;OdCL`a67Iv;k=Q(;Iy1(fOfYEFF$J!*X=Z8FdsMd-K#T8PT*asXNCMN@{_)EWmbHY?=G zmj)Gy<&nAN&h!CQQgr+YtHMoFQEMd007X{;ReO)cD#?n1MogQkd7Fw?s{x-zm+#;4 z5amJuOYz#mBI6a!(ni6slHVaSM{Q#?hraD;CF8RKT!AC~@LCZ5l&!H6^os4{_G@45 zbaenX>5cn?70X!Oj_bS+v7 zpDo)WsaEo~65_*9nYnkQQBQ94PB~fJyC@qcm2}bbGtd83$D1^oS>H(OxDKmyByH=4 zwy{Rm0g=8WaV#N2j49cj$1{A%d?uHEED44DB8vR?5&zrmI3@C{_FbeG6c# zwpR!+ysYz3N2G+cQxI-w9@*DIEP_~X)u$^+&LFO*s-j6Vw}tEg%KnG2n1QO`FQA*J zrvN&Mnn{!OoDh!6vgg-7dTF&)T52VJXf+E0=ZPk0mo|De2A-H)e@27056S|T;(6=e zwLXTkoc0H8V^M}Ug&}>YdRf`V6;E>AoTbdPidSR%_E5$TE`ME&(^d_*wgv-YA_Ej0 zz~s;71c!>$0TlwEn&1Vv0CHYFs=VKaxeY9!!`;TF@)#6pv}6MEO34S_xEJ|T$acN| zzNlXCZR{#fjT&)v9zR%HufUD!s!)v-t-n7VZnju_P)fFMT6x_>R&cK&g?Zh;W9l7*iQ6Q>SKfNYIt)1g9xo4A zO8Cy-SzS3=$~dC}Ev_ZYV9Q$j%N$5a$38DTYknWW;n3K{Xzy0UgwXhc`i)g_UMle2 zgoO^S&VyQWTFHMagXNa)S+HUBEA>b2)6AAn!>&KgC^XVv6E%Alt8#^V0Nqs5m;+b>_7Ghn$$WWTsyB1`aWgWq(ORZXkyMdnR|cRNX1GK<+x_jh z(O+wCFKrr1hLFM#{o(#K7%Uy7C=n-`M%w~|%trT(*>NArik-bvmg|hXdofXZXYsc| z3w){5qn~0sUAsFGNIZb4XsWCUi^bCJNOun3nU)xcbQA1X9A zSA03rsuNZ?$k2BCe1_Vu5FKa{fHjQyGu&VjWuAJ4q^)E-1eAEVfkTAC|7@_-kKMw)HG3K6e^_ZTsyEhnJ zjkb9_WNRH+epk&f-dGF$|7jyeXo30I&RXb4oIph*7FWvYP1(t&uLC5C*+IB& zN%lFV^@@Q(ot$$lgV%^uEaDeys&*>ds&>w++Nxtq;jT9n9U*$#dM)N_s8Ql$d(?5Q zmbRe{6Wg*hw9X#LCVTuvUJU^UTCC%|nZqC#o|OX_ui3EIgD!ad;K6JEVF^74XV%iOu+M!Smn=KVMmF`UpSeG>ZWMP%lEF{?0# z9RoCZbQ$%wIg$m6)kY0%>0iKjIt$212wD}PcR)-^IcZwPyegb(TRs3j4+bbQR8P1U z5u|$|wyc>sZF?BY%|bJYiAb%O*riR&!ooF%*i|&zo;HNMi|}u_{~35nR-ZLbwqCeW z!0mMYG>Mms$)q(jT$fR)3V>5~-KEGBm|klkyiwu)LjO6cC3fn2uay}Kgk9X|?sPHE z67UfQ-O5OlCY+1uk~2^NO;tgW#swD8SK1)>TGxLbyZK&f zT(A+ESL1j~FoCBy{9ZDCo|~LWPL>J|Wi53)l75~Qe3@tZ<*H0wQFTaC*RoHdQ?e~i z7(Cw`7PUhe%hseVbWG*?eZ_4aH6J0hh$bZ>Sgs$p&B0gE!iMfq{Rf*he1_miB@!M%dHRN+cTA!>gj~@tb$Qg5|!|KjoO|JX_S~+ORKX6e+q}B1rd0=o2ZJb#=sR z%K`d{X5Sqap-%6Y>~suPdaGnKz76?-{B8z>Q0AqUwtZ&(E`@mBjvI_KL(sXi#0SDI zzjL@)30#hHRqQ&kh8ll<{&3bHX$h0l6=VYS-ek^?u`%-h)A(cZ&QY!B)9ti||FD51 z8z?Lfa-iXW{%v827uL|Rj$?1%W9I&SikTM)GI9|5`SPj$z}vFoX*EVaH7p9pB*YlU z%R%6jbCRN*vTbMIONmMaGwsuaT4jht8SVHHu>nlabG;gl`Vr>}ZcvJV$I$D_+QGoh zP?S@aw)35>^7B>3D6KS06`PbP`24eu{re1`A?)FJ?|>Nb`I;yG#WLDfHt(1!{fPh) zw#~i4GRrQ0F*h{6@1wEzyyNjcBVE%w%kEka#eOF~6fM%BYjatu`LDYmP%X%M;(9=X z19(H@`NRA9?C^V z31e;A7vk&eUx#l24n7WX5e0VNFm{|;GC`>+t&Os>g@tPpn)xq|^92m!v%Ch(A15QR zG1kI?nwo3J3u^hO0^Z(x!Tuc=nEY){2S7|*Nv4PP@NmYsO90^<*K z26Wp*7z5@Z$T06SreBxyqP@(B{b%@l zYKq1LN9Ej>m$1$1Ag#&EluhO(_aAPlQS79h;XpH0ntgFbVrCr@?m>xqpKK1yj`Vf1 z`a9E=n6fA59hG{Kh8BjXpgTFZd}%JI#8i}irMc#Cyl8YuuMM`NPSatWdxcvBXL&%> z{Y5R!hYKjDZTA_3{(1_`_?!t#9$V+Mc1LH#PZ#4l($r>$zS$&qXT8$fQPoe3=T)x` z0(Q7up(y-Q@k(iZ9c>~^)Gu|pnr7Ny9$GD3vMgvrox<#ye0xf@I(fvkJS0w9&5Iw> z&MWV1>{}`b&Y>@(DPoNt81EvVy7@n92<16MCURzgpFL9ikHFXeu?=!=9~+(|{)zRb zNl!|Uofm_j+W8FIa`_Ekg!hR$SZCK8RGEl%AKC6KCBl+nAwS1Rmv>VJ($_|IZ^JVz z3D^(Td!tKBQ0r@^zwf3jQ3*4d&vM-UZX*fi6%kn$>GS!@3z2rgi&!sXjlo|vQ~hVjY`XeSJ9v}P6KJQ zy)pltbBgxjM6^qop$lB3k5NrC4dTR*I|gF2FuJ1)VkSThD|hx5|E7lJm{#mf zfO>%O3g6OC8yefp9y^%o3QB@P>4x>HH~n>~^It`a8n=I5`HE>?GB@Qh8IIqFv!93C z^TxlG`I(dw>iK_$23ef~3Edy92*3kuUs%{48!1@Wk(80KmX~fnr|TE}TYH~5djjTc zVgkSSSMQI8W@5>P;EB87yA>(lmK*)t30OH3W5xY9>mLf$uy?ooUaWqoJ+nCip6PFX zCqva7uyl#|f(ic|Nl6mAJrW8D3U}zhC9rFk6HkKIg*c7-hxDy~jq3G42EZOKD(LR} zlKbc7-(6it{aIc!MG}XXKVvtC5Wzc1-B2%u7j>}a-)jcIUE)28JEU7^`40}8{6ek3 zY>L;n3+SBA)tuI`8Zf2Y2kKQD8FP1G53sn5XvSSzGN zj~NAf1`M^ZQ!~JWaz#fB4cu1HdnUyF zmPUA**O?C=S13(Uuru0zB9mZs0-9kEpEuvTLMNq{LaoH% zi|cKiv`g@6)Unyvq~&*Qxp}rcNPp@ShOW^^&_Coz6yT?<-TM{Vvv4vRMVkgBJ85#} z9!%h(a9-a?Vj>2Iq6kJR?&o`}Iaua?$98;iO;=w$A}GTCI8!1mTy(#jF+Thdu^2=~ zfdX>Dew-(!^x9pv{JbX9#yQG>LnjYY0$CxsU{gR&Gaa>-Ab9J%ReM5S6k%^Y-??b2 zJ||Z00qN^wkQmp^imlNc@(E@Wu+Kz+*~USgv1X+FHe&t$m@`(czV!~!9JTDJ~kjlB+~{%pb5_(broi7pq_zws})-{8N|>~GrJyA8{?KQ zhn`n_;1qFEZ(n%Crf|oNtbb=fRv%GJ{OUcT?nOLxvRtKglk9W-lvWfC@!QKM zfns6owRzEkccQij7_LDT%91C8V{2}n0EAY!|9Qw=GYOJ8=6Ob6quibv0;xQSlNi}N*fZUML&acL`>I$lUzG?=3xvBO{62x7o%64b5)%I)Gr&bSdr6^|$pX z0W9L4x0F$jMJ+;QI=kMVJO4W#s5NgS%L-m$pxa5T|8ta2-n8yUx+0XUv!RaC%MZ2$he(kS=`P)&%2^=hVimOngE0O zmc>t-`9^tP$+cXnm@urStt6dbJQSan?s$`Bwo6>|;OZ}3fO$M=z?U$VT!RT7tVQh% z=>mfl*kntek`tDL1`r~!VT}M(&m<$qO?XJeQc5my5;}@ngZOdD0WOM#=gRR3bYx_e%_JUTq(;C4{hckJK3(TStX4;`-H(C zfIupBPF?t_)92Qd$Lv1EL|Q~%QZL)tsrgeZ6E+Wl^7c`yg`!mJBsTM7qjwHb(We@z?A>a4lM^uZECceOJ4^~^+D(^(E*-w?6 z|)h+#Os%5(Rl?T)UoAHoVUo z!Bq}Q``ja-wYEM0_i{g^u?f>&`e>7-BTa$TX;KnD7)d5$Y05q_umQ?-9Y!@+p+T;e z(s6L!0=d)y@0XbdE*N#f8sAD$0bLBAsD(7R!=hvy-f@t(GEoId2H-gl3Yv_Ww#K(( zbPI36C=AV6;F{HC;a|A6f7V^BIzmo= zaPXkQ?B}_zp<*fy|Bp-7`opc9Vnv+`%HL`cS>NE)M<6=v+# zRstiG@9V6!+f;et%<9o(^S~rxD&SZ0XlKcIDSu|Gr@Ok|1Jl5fDJ-v~Bipr7Y%g_~ zs&lW4jt1{miqD3Wj}Qan#+`>Ueospo=&|$CUCh3g+i=PWZtf=IvS5^RD-eJgm4m91z!O?RL*pF_7jupHh9<&()*RUt?-sQUfEp)*g}X8QchJ zgNb=vxAFe9v@q#-US6cr6=x{L-h*N&#@++1BhUxqtC!*hWjE*hGH|=$BpR8$Jjl0P97CWTrzJti#$)SVQ!eL@#cs; zcix{M$DAHu7pbj$Q7O;F^s$B<1gsd~n!{D}X7lB&Xx zl`p4rY>83il#OCtoLhSA_cs8|&zPrC0(qj5XP)U1X9r-W!sG4%5An#e)5w~-bocNa zkbWKvz&&Z{w&`hh_NVvzR~3B+*xMM<{|m@IsmIvgo|`j!B=-B}*TQX?EibG7p2 zSn{FLX@8$Gi{eCe*=-nVW%MO(7$P!iUJV{oJUSXR+!p-G_e57UIjHH^^iN%T957tdGY-Cxw#>@X;&d*OX%j}&4i`$)w1JyOb9VrrwDc|P>b*{E*Q-IhPrQin|L zc_w{}Vz81`hyX^F^Sl;}t79@KeKwUbd&_a}H6p1HWy{2F^DwE&JN&PvvQ&Aew|bbA z?yQuI-f1|BiHgUbY^=%%@DlQCvDGzf=5NjDX4O*MM{x7H3s=kBtGmlpvowelfTi`V zt+T_&Q!6(RoPcaqnx2{8$FMdmT2QnYN`fatz6k=dA&T|8*TF_5pmfom7`bEYHo1*4 zQRr_-YC@)+5}1+p`UDWGZ%77g21!HhmEpg=s~vhXvJi(x{o^+CV}8R21Apc7v;lr( zdEYGc$82_#G>o~^F)02*0wi3THOUu=zC8cPEV(^_1^Yfa+yuRoUS3@RS5BOr=!SEi zoF9PFFQ|EbW8T9OU|fYr1L-DU_ucWri{;iwBMq_{K<55Qtls?VCAInwU*bg z3iN+*^^eh=HBZ`JIl8d$0!>VbD>u+{C9;B;(k{@ocI|+R}LSpT^3*TyN8F;U_olav{CXwdS(kAYE%m1?a z*IIsTtB!hO4`%sA=zK-|68skk&uh$OebDc6bd5+mj%DWXs9_C&2FqAkH7 zx92B6&MeFspoVy^Rs)q|;*`rKA~YzQ3kCyCadGe~b7(GsGdI<)R&P)2tR+m3oOq{6 zz%0<5o5y#YN1&=r&tr(<)rVRj=I?PoIznWDdd|+2C0H|?DVHbuGY{@z5Ax{ug3GDw z$^Nv|QMCwNW__=@d3T_fp0@mW)vo60Zqf0X02N0Z7<~aKBo{FZ4w+4lrOK-~dLLsu z6HAto&D~pGWFqkVpcJve6?_MGH*|V`p4o4{De!jO!Sa3K74%+6%Jmd)K(9FbY9&hX z=~6eqX}u5Q zQ1b5;xLzW6(z=7%&MLHi-Dmy?@_a1D|5?jirdEEvWuiAm$rA^j<3DNpn64c%2Yj?9 z#T<6_#!q)M2ZQAtgf3i%$}3Cm#0kk(+-~ZprUlNn72WiZ^6qxX%Y zd(Ck-_SsSDdYa2Tu(uLS<-hN}&NsVw#%@1jW~pBsqkKq7aq(i^_Xh|TQvCGU&Kl|s z=0Z0H8$h+H71GIRXAwy_q8kg;n!7(l-y>&a*$Xl=sqZWU=p^^9$PQ%GJf0Viy$ccm z%2bYv58<4P#l=5+gS^`?nBywDvefMO^N>Z`u8$HvB-nc*aP$!0Ohd(8^{)aJ1n9II zo6oa5JG>Bd4~L;tY>Xkbv_iJ6Nf5P))+;RBDnnbpeY4i?ekkA*dS9XTR%dUAQaWyL zMGVroZdo!!PrS4t`g@a0dURU(cjE2=n61p1sve)Dgh>VeJ|iR#AOF{Yqi20$IOj@H zDRpemDYP^hJ?*u&4$j=$Bg6cfPHU%&|1;M;mcUENS;NSo;;Hup%5;@Qbpeq=0aR3? z=Aa+%ab7q3lb>AH6K=e+((ph~$NG3geZi2{oJWm0u3tpoNX4>dAW3dS;A+9KQUyEZ5K-wP3$TUBsde;Ll(RI<2+Zzjp2<%P9< z#l|6mWTQy}ctUXKvFA;q>$I0`sVF5yB+*p)SSFw4!cc@~ zeRzymdUmOv%*$qT_l(H_yFKs8(U!8S5M?W-(#27fY-IO0)t0}6h>}1I$IZTiQu&DE z5Ay0s{`HHa&oEIpazD@cZga&4D3JrZq@! zm-xDc{h z8@JsJK5#ZGGQiX@a(BNx$j}J$r!l22ELjdkQ|aG$H?DWM7l))#95TAwPXVem6XeR@ z1lp-40|bgxeCkm2eByvUD>;i44BVO}vK!Gax3)nhXP7^fvh8BYUuW|xv}b2+D%Jnz z5dFL&)@kJKa|7+?hQv&Vu#5?0LVCW8YFoNg+d#fg8&%HJY{K|3+J}g&*GF{ltzrb4 z^gQa&m+2p{q-a{EiS^lSaz&P99KV^wH}I)rI)zn8G6@083X?jB4I04raS}rIGx#9( z8^YEhGV|VYx;-w9&kmf+-*FotV&|FgTBgYe%d1Qs#w!=EX|DUekGJi++)2=K7Y>@Q z;z?BMe=CA1mk|~c5Gg7kq>v4BR-=dn_f(dHRHL|R;xf~0_=aPN0tu>xy1o#m(+jC= z^CvhBT{r>^kXs5YKq+K8yryQ-(Zp7VJn`QKlmhcRzesaUBcr(YrAv-s8VIe94_hVa zEruc;-Jn`Uw84fpJ(CQaa*Rm@OAOV8H$mb;7IOQd&IY3yqp^a>xO+Q{whBO`iyUd$N(#qm@ zaY?MWf6HbZmAiMOmHR&0l2}RscJae{aBrj5GM6<8i{L*(s*Go>%XyQ24u9=CF{8b9 z_}-lKQJ%0Eqbd4_<$LpJG@TBkyf$g24q#i2qN8A*ET)O@MRT;ma4D{;WPFsgG6as^ zY_axK3QNp>cV=-Q1heC0>8=QY^zciE?sA=|)>}u83n`?ps1^dT=F#V|M5f>(r6X(O zA$a|}Q?I!Y)Vp$d+wGwWW!t2hQz=}7)xzO7mTLnMgjY_xDpk(tQLPU1o`FU}06&96 z_64u0#iH%9A;Y=E97RK0t@&mkBXV6sN3B_X-OTX1QRog?i?#kd+$we1`>#oc)Hb0y z@=ZxhpRV87r7N#{CrPoDW0Yv>Ab=Xx`p+^!5~X6)wi#FUUM0$9eatJVWZfye(It`x zN=!?l?>pLYr(SGARiHUyR(5SZu=l`VXTS7CHl2!-z(|FWQ9)8G8`}G8GDD}rq;*Vh z>L2sZl55`;-r!m9{|i)UKMrqwM)kn$>3m^>ma{$QB-$dW3_?Ro-2d#UU#rgE3PJhO zXxB4lgdqUmv$ANhcAIVJT&fPOtSnF)Pa*tv45jQ`KKi3lZqvtmtUurXAE;qw#^yFy zA#ar}Lt+XhKJKzteW(6w|0v(3Mq{Sjt~1}47n-aZDl4l=gbzC&N9>$iBAR6Ml^$`y zo#f8j*zb$u57?96Hs)M8u431htNk=q`oA~7Jp6=dPEUAFQ8R#-B}HjSP$6P2ERwy@ z0AIOMSt|}xPNp;HcR&R0S5C6R?8o|YyKK4-6d>_0e4I8N*R52EiCP>R(l$bzf4vf3 z=AE@3ZC`frh0VOv-jM(wOUz-+Oy`G*YcyG5m6T5&PI3#idXO%fT&hP6(-QunRWowV z+XP4z^YaVb(WsQCz2*w!Nu}d=1yP;q)-984=8^swi~Ayk9~S`5@~)Zin~Z84wJ+4p z);fp&PdT@H8CK8!6NFi^aGV}R1PMJ!vnn9?JxRr&tx7D2SWx7ZASvVij=$aYkmN{7 zG&SBY{g=hLE|lyZqkt}m8-zPUH#1t}dNy36B~&1(o}qVJ1F?|kFGKCv)@sTF{^2BdqRrMsFcyp$#aiwW;H4m zzi4gB!`PsLMT??HnA{hq&)mFdB+@`BmaiHTS_}~brDK42bt9#wdKpO=DWbM{-rWh={ zkf%&$gfQwxoP9CWDAuvEQ}1K2+`wq=Q+$Om*5-wRAFfyJyZJdlcAD9Bp|PiAN!i`y zXVxOeyaZfg!XEczeH*C=VTWC-I2=S(dZQ`$If$i(`tC#A_J!GMe+RmWRpD<#6jEWX zn&LAPQdOdBBYzor+}clx3oq18`_n&8IP0#qUD!8^9QA)DIwMu=83udyNA=qhe{1be zf8(k)73I)#^K!d7xGQ!cA$)<1rSeIRA;kIhgZ&XG*K+~KZa#fBzFmnaDPIU{rbgR_ z`e+`nJ+URccs#zgQCU6zkW)3YpH3oiC49F_Z4~P6s9Mk3So5SG;NRBsEp{^$f;%*8 zMlDd?kE{~>_+@FR4@EbTnbkR&0JD|lk`G)S&*f)>0+ZjE0`XY zmG?H>>C|a5d^#V9%54ywCc>69DE@t|)THV~@^;Pn#vQAn3^#e_Ycy{^5P|ytXLzYTwYi*zyo`B6*q-m4#Oz5VdT8Kl9`~5C z1-P3ZCHA&m$kdh&8Hqe?F>Bc=LqVm9@=}F13fYS~ZA(OSnrC`U5fwGaH2lqaN=idfVf1LQl%yM`tQU3;#=k#XMzMER0}}GHe@!qQ=(mj zWwni`oDDr_x5%mPOgj2#pu)+tQNcljD0w=){a&((x&k}{Kr&;Jf9?~uj7my7OG~{w zO7W!%IaW3KSH`Ke_{=_v`6$xzFq`V`(4heN!WS?-1-X{8JRQt>9*m=^E5Cg*(+|~v8P{9 zk|<_YIoVAco?f7Sfs+fsFDw=q6wE;Xpe9JSG3~vXB*pMPvdruS#9wT zq3mUB$WEX$>(|O<5CslJKM5Qs9h_MO#p9#Z;;?}#j3P`#hOGYnpi6OstO%` z^9$9|F?>gwRsHzmnC1WS^pRFIY!d}}lbBLeN_1@%t9ASPWT8d`>gu3rS)>C*;Q7Dr zR#X80>TD~U{u$}Au3gE~PyTcndJadSoM2h9)#1o`4Mw7DZNZs6i)g0QGQEKGea#3V z)LdoC;D&@LGpTp~ok^S-UCP)v5$-RZJVMfN zp7xg8bNR0Q%q7j{ub}|DbjBkcS`=@NFuDb#)a%-UQML=Z;_sM}=t;6jhkBO(&=wv9 zUmXY6HFI=XDJU=Pwq?NU$0v$6pB*YsBo)`II>D#y-x&wnxDXS9{Yl#2WzaN6bBVYZ z2)0qmTx0~coHVnlE8E6?$5l5F+3Xq}CKYy*n*L4vTgU9KOFs z0`mjCSE|rVnf_h<1|bd%AiT2xFCz!puy;&F$p1yFBFi$kG2JELxoOfq^HZ;${x<)A5-LB{XPDp>V;vmRfR?FrUWB z^<(sBt-}R-BQ^R%P>we^W z;`iM*O^F}Mk{GNq%@>#hU{qBW#mTU2ON@cqa5~nI#(DYTu{K_KCRd*wAHIYQk@OK1 zRLOlqKQ-1z#8u-y2mt1yivUX^BVe- z(VTMY#+))+4wIT3HK%r@k}ygM#M+W2CvPfRwRMJ?mu@o;b{gXFhm$92_!frOK3pR`W<&Sveqv#$1i;_<#~6mW$t&8bxi6p?pII`H z9xP}k?5}d8C=9Zc_4k3!6br1*6sq|RdR2DaRo?{sAOa7-$SE&QBd@r!Z~I?1czeXW zMqb4*oif2YU0qC%rpQE0++~-JPkaOF_<4@tQJ$sC%uAt|Bved^d+PU@OlUD$!!|kE z9Vrh3X7g53cpQms{7OEgdkn%^(6s^;Ua=~Q7bU7ljrUy7WU*06u>V=+I-K$Nu`Y{w zeen!6CS)W~br+y+kYTmXF3(1V;I~-c#_166X5#POm(;hu%&BdgSVEMK_P5(VZ5}6v zA1(!3tro?`0>03A83RmZq{P~CS-s1&rkJh z!!11i*OXhB#kmk-mKx|AaX}-Ja^W!vl=rSFPk1w+fPticko)rp$msOoX?{s$3DN6uOn9@MS>xAoTrGlQPSM<;%~(`(j>zwUy;ViCchMggqU_< z#LI^?Ym;6Y<$vU#>8S0J_Ji}HhjYak1G<2;$Qh@7D*rowv1wFW4{Tuh;atrq7goE5!JHh<6+si!F%+2PKD0DST)rEgZ#H<&#V~pJEAtby1I?8=d2YMg-x0W zFkRtw8;wpioStdWn;jgNF4x~m{L1K-ghxD>%s8~v$zNu4*0Gq&dZFg1}W`57}qO+Seldr%(S;K63@u5Ep?yQaj z)0Z}~3}#46y0O+8!fwlJJbE2*X)Ryn*Z$7WLC!12T783@uNf9mIBRM-zw z8AZ_-_p>=P^Ac;jx0vW8=pIWgy0r(4Ei2UEQ~p4L+i7BxMYEIp&kNPyhz2WvY#DU7q^ zr?QZux~o<)x7o>;Rhp80T+R*j8B9j`HB2}+`HvQ@}rfCQ1l1e%YL4Az_wZ(X?&D)pU8Uy*% z*3Q!TX84l|=-iIbu8zy`Qg0Uzpr_5P^Y>SwojS7|drg&uuK@m0#f}Vp3P^wPrB1hc zz;Fb!Clac)f`B^@GLDcjxUqEb-Y53`X_mA|_JnQn_tAoFa_ixa*Uk3?Xl2#)1qv;L zJfU{K8eNLq#t7AOC=97QVMW+lD2*s@jYJL={`0SfOkGIvGg&_ z@h^8uAZHIDae#8Nt1>gN@_s%yU3&y5zh!gG99gK@J0gZ@RDHe}SE_f{V$@N6YREH3 z&V|-WEP#|L9!dA+GMuuDj3j@5WxHSsACWn~IU9+~jU>wN8)HZ_v+8QTOpJ?9=`qWFiybon&?hrHw`#&1)RrCszABzhr%F4gy6w>JY;fH)1rJdyNq)d- z&t%Sih<2pqZuz%Uiv$dlGX5yEM0Fy99&hja=YPx>emvFup@_$@{VsJSvl6yc;VR_J z_>2=`7ophA)T#N0Z^w%p`cuBprtMba_jJR_S=e^nm#fay#;Cu6lQ$-;h0TVMn0jXG zO(E|M@W<9*KFUK*``7loP(9DAFHFFhq+T>OwcOv-BL+U*H)lW>%TWVTMTmh6{|jDAzcGH-1)2FWbeQdB z{?(b-2DB^33iKq$NU5Cz{sO{}c#mjyo1j5Xk4=g3Iwa(^EwSB|ur8$YV)yA}m@Xt} z$2v9Mov91zn?Z^CTv+}+#DOHywFKS51%WSSQh!WZlvN^YFB8s7e_cXrL~3mCxAQTMOqG9O-=xIr zEc#WO9hF;2kb6sP0t4G>gN6YER28AbACas9>fx>tg9%gj9+y&?t6ZCov9Gk=Y0xg? z{EB@6Y!wlJ7}5|q5+}J-Bb~efL1A@c#;<(7*d%b7R~sA|@#H{L!+I1$vvwP*0grC& zakZUg_=gHa2GYruWQHu+e1n|Y3(O`S0?$U0*924Qw-lq{g?1+>(Iy58OV=zg;|cC< zr9Zi1F>N*t$F1t&)&gdyt3i5JV~p~!LB|RG)bzMO>kXm~+a6V0$2IMm zg>4VS2eL_Cg!E(R_#WElA=@e1X~Oi;^A*lbFR*F0gT7RH%P@rx8{Q5>h3@V=gMT$F zqe>}NmBX}e>&Y|L7o+)cep10@aCzF%tvsw&BFz1}=&>3#`R|Q1Dy7S`sjGxdQ24kD zY+^T1X3G+CgHw{`)CTvLu{r2C*Eo`l=XnTFw-})1WTfgqQah&ml~gV^1nM!E_-egn z@Hfb)oWE;X^?8?8gTpyTRQt=QN^?g^i)IP0z5xZMFk5u@hl7t_2u2o7)N_)j`#fY_ z3K7{+-e0QI_{=k~YBAY(-q#nw8~K0y&ZXS!UrU0`bA+V>A z8!$4$0Cj}q&e=a~lj;an>RujSqSwjL@oFskn%!G%DV0>kl@Mh zX*Fu(k!9IdH8mk)JiPG)c|+BWy&tcY$qB1Spy(&@I`$r;))+E%83GqiH91+XU&+R2 z0)$HL(@6sn(t4o^z~#SugG6d6XN`OTW|x3sowbu2QISdR$$K(HtaD5YF)#NfwU=iz z@t8tPnc}@BHVLa_igNImS@hx*0p&_;>-|F!Onb;g-HH@dIS%piVLEO;M(~PjNa}FyhV*J^LSP_gg5C7l*}o=u7Q(&2V1Vni>eVEv^bQ%yOo)AIjbC8r`{{s4xh4m?QmdB zjit+Mv2^FAo}FMA(q~GbjSnsMQn(VP9vb+Y+95Us0RiDhM5ZNGgZYY$C?DpBMH__{ zKT_yUJ9=grZYC@}e-(J^sqq(}|jBw}nx58sERAXXW``~g8OnsxiQ0{IiR@D+L=f{_VCby zFct7jc;B2Smc#)v@aVa&M8gHkw%QdSMfWA#Fu?7`W5h?br1Uw;qSbqv&CG@$E?K+j z5``&j)z2(U@?n#;AP3Q`?MVe3SnsBM7>>x%r!v^WqQ~dE^&}|ah<*#=dSyRviy(C~ zfQc6dBdhzv4pI2`5>+V{i>s+wsG019<^t9WAloi?90s6ASn#jqd+}|r3PG%8)QFaW zPY+0Fbhq+KqN8W)9x>tmimkgaL%%%>=Eh}A@Mn*dxL4%I&-;f5;_A8VxY4t?#)%>& zbR)D|wBopVRbqwkoIj;+qnS!4(~lqZtfP@JRGd`5q`!PqH7(ApR?ATYl{>Rr+L|*H zliOOKkfs0!Q#`=_=r{Qw<~$8iWljYy+V1r4tjaw;?R{)9ALFz;(@SW|tKRNWRLLh9 zkeDr3cz(3~W3yrI)E^27ElK)lAMx;X$7T`H{6c=1!6ZG3T{1@-?`;sLPiXQxJ|_kq zE1?I|QF8xQI1UR3qscS>(YJ0jVxvMuA<>5fA{qli-`LL)O2WC9Kfc)8OjEH`5f9JB zsd`fTK8MrM&AFLd{7z|2vY9+|zC|?7?r(nN%ve$QUXI03crFMBG`fc(Nt*LcE5f@EJ6`)o$n!RJPMeIp zOZyp^hx7W_I~z34=_8%~&00D-*ZaB;Nbm|di{0K>y_)Nuwxwy!QCLd#QEx%hHb^@s zy}#MhW=zMorhB@q(JJvE~ZLAJ^mL&O-zMg*Pk@Lw$(;R9FQ6nddfKg^)qn{ zMg`IOF>i{4S5se`2ztb@#sY+23TZ;`SKZ&UR$s%=aDNd?ChayOn+U>XQjU3c13dPY zP8(L#hne{#i)he1o3&Zf6w234wEidkl?stbS*1@D7#bE?1)8PxZcn`ePlI1Rq&Fwg z^ie*{NOd)07UT*~xRqvn(LVEE^xg0o&0E_sS0=X-o_$NPQj8)J&XP67(vNP5HwP* z(xB#SEPpy%5GCV_Ape^ut8AsER&Pf8^QjJW_%f8z$AOp=w9-e=^=%YTUjM`K?psi$ zQ0X?F-rN1w39;VW+}25HFI(F)jMm40>!3=&;SQzdy?^l|Pwy>efdx($TI+*r3!E-w z;!|AR{`{|h5!n1I;U=fm>-(YqKbQ}Teg2U}`%m>`+yB&tZ&r*AoyC=#J@z$z-VS%p zdDu>O-xz_{ZZ~?MmOD?h#;-F3X5AnWMt^kCzBOE?ZV4li)BwQt089 zPr&;blc#sFHtPAAEBDRg@(!iPub&<+s8*=d_@l>98pO~20%Q5Hb@plh|8GW|^%m3! zINYO5z4xzt#Am%p_Y!x#ZB}8^v=*pf13{g@w{ySX{{GK`;XaaeB)U8?{^1YnyKzPY zdheT6b(Wp4{m$HvuUy+VQrh@g?~A#A$otkj-vSsOCjP~d;Jv;R91YhzM!mfC6Rqa# z631w|v=pUxLS-Y5g#qe*0)i`^YVFLVW+|{{Wa@KY*hj+3-V4%W4FuZX_)iRi@%jk@E#K>M`zHg87Edtl za`?)vX@|rNBb<45tn)(LaBKcv#7=LgA*e@u;C)kMAaxCP@VtmYR*FIP-IIY9NWr%@ zc;XjY&;Za0L^wz}4A$RXbNS{!jgi=Yu*z>%0^nV?kp5m5|B3w}x{V-(@nPyZ770Ms z_^loPjhRMJu9ZBX1x`|u6W>uVBMi~JLs*c;BsoZa7S^BY;`8RuD+MC{yCN(6G#oVZ zpLxP~WT2y3M6^^p3osnOHXQV5ku6I3RTrNO)PfC`hlB=tmsD555KDE3@Wn~6>ehO z2Zg^@w(|*m`VB1Tz|S<+sQI zs3G62&>s4Kq>S42dEQa1^;pq zv7pvAh>pN`uBr8)y?)7}*maXX|KJUpAUN=zCgr9MHF%#N)tKC=r({#Vy&gL|Rg+ow-38Y$aWf-3 z9Sqv|4t?KUt@lUuTC;u&MH4FO>I|<@LEJRav*g5-WN$6BNb}|17 z2VAPjKV^aY%bXGWnh zWi!YJLXpJRZK&1vktLT*%E1JAHrR~8JQWu=5*Ep1V;qVn|3z4E;T`1!m#ycSlE)eP zhNOXtywp03R42GPsw*RFi8}Qjg8lKzthvbbn0(kX1yW3~3_HDogxLMnOEyoE9c6;X zU?Ch+?j3)1EtO2t^dNMAr>Fg}ikrpq`_9(hU?gUkeT~S;iqUG;XiVjFsLe>Ch?*8m z%+oiylSD#zNPnb)#eSHGE4j?Y{CH+J_A6Nds=OdkUnV9-jHxj<&kKrNW7K^U06%kF zV;gbRN}g~~e72{eVrXsINh89sx|&NvhMZLgMkC_8tm_6O2YM}lmfEkYK^ar&i*Its z;6OA_E>(Xnq{$tbUwl}^857%qZsksC2i4sev0A&4dsck9M-!9>rXf?R=3Mg`OI#zf ztF0Uu79bD03I;)JoT9K~yDz|vd?~O9sBkrL6|>ZJF!x(ii%?;``vP2F{aA7}KB7o2 zEWtm!^tH@<57Q1 z;AD3uAVpWrZj2HpvAs(5(+j(GT>g457E-jI2zh_CrtXz)AQnmdPE0o8_=A6JaH8^d zU}l>QvD?!J=Qs0PrFrBjTGnaaNu&v2U!>9W0Dio_$^ zbKVVWB~kN$5pHZZmH-8_Ix{(NDQ2HQTD+j*#=H=-&egw&IY1aY+?KYpR-wTS@|{th zthr@1dR&Z4Id6i8m=Wd`B{-kXM9uOd?RnT&P(8G`Kkp@5u+Gvyj8_~Bw}*%B2EpA^$M(eLaBmlC z$4g&{8B=7V{$K5M1E_W4+Nis#8qYCgL?+D}uXCYr7!9N{b8JORD=f-lYXF**@^1~a zv`X9SXPw4tNA5@hD)K80t_rgZi!+1^T7RVA(XCA^d&eV9$1jTniM%H1+^cxYe(GB7 zk-*MGtz6KOd>4f?D#BGK`ks63il$U4_s@P)X}7eL8w06v|3S+!`HKjLCvm|Y&M(1& ze7>G3-p8fh_nX|NS>~VEM4muu+40`x>SsS)+N$IKPX>dWE03Ju9%0{mXi(1qYXsbS zJr}*7>i;qr=moMzu^}t}m%!jK*zw`D~ghCBJi&H^lT@1p974PI@ZxNO3KB@3UKL$dgw?gc=+87 zl!4fvgfuj(qST!|$d+TP?noU&?B_~&OXp z{gRcrW>dpUPD0aV81j(FI|uq&zG}~Kau%L0L1(0d+MY~FGQ{xHEA1KTQ_#rT4VFd+ zr~?D)cK!Mu4TNkGDX^7saCZIY`wCl%+P?C$7iUByjK6Ok@Wkb{Ej;Pn?7#PCcX0i# z!IJsnCRAFF|EtP??0a9puzmw|vp@8ipwZ3!p_~QRZWEHdWPhMQzWn)&mp>q4*lr^F zRjT?|8>Xd&8sLc5Mw;mRONZRe@B(WiYY{+FHD%MeSD8)rUHn7Q)HQ2hEE5{Qi8Y_% zg4+Ts_ACyQHdtsX=-m=M%#0O*?+bkuf8vDp<`RxqAD1X1UAlpxnn=<}AV0<~_A}|@ z0Rq<4tkCi%@$%IVXM1GdO=p7~*2}lmVYbTeZ^Sl><#ymoPY}QjXOhKBF0o;7fqVSF>W{ic&)|3mrPucfF`$hCtWe%LXW_;R$@M zV0j4)o@jq)mWC(UtUVSXf(``i%Gpr@FD!*^Uwbw;Y!&bwltS5{>&&7(N}LYa(3DkA z#{`YNLZ7@ogY{8X(Md+tX68w0s~GL~9eY*pa-Zg%>8 z@eT$X8E*a3`3BxZ&6W51GqT11L*klpDFyiiS3ZOHi(klo{r5~+4X|vua|;>^r^Ih0 zctG6tSDBhKsYyOGQ7uG+kp8nzKaymDRTgpfPM8X=(j5k|0zc&itg&!n<1Nz`;S3@v zA`5@{eq(R8r3WSp@9n@A3nEZ(z@%aoN}*JtH@LDL>$0Q&-sa4jzOFE{1mO{C!E_P* zon+D;=Yn?eL9-np0S_dbE`6s?qCi%h4XYy4tR^^IMAmMeDQ@k&Yv0Kw*=C^5Kp6a% zTvxJRiq08qgH?e(O<$r3^k~^7ff)?!B#s>xgeypl#{6CV;)&-Sl?AL{9S)@yI_VjO z20dYpX(l^d#gKf%bPCFenWK=4@2XYpvSsd?AQ5N0r}-pdMQ8GaAQ;J|hmXHl{$Q{~ zAD*>A&OzH@=@o;ucY@C2uIR({_pP0YX)%q=WRiF^s1E5fR&bPuApVL%5n})4O$3wI zt^SfxZ)l-!yrkL$m!In^II*=U(;QQxjOyJ zGsRokHthTHkbL%dUKP-^x>7_@9L~|BqRw4jT{l8ZTy~oK((HpXXcKhfBReMk_W?_oXfs( zHiVb{x*$Kef@NQZ`(vTGMU!mD;09XqLTnd_;}9tkXQUBsgN1Eli%t?HjZ1#N|0*;k z#Df&m>W6n^GAl4*uuk*g)doTSnC}>t^JBlF3+jC4vIbnXv}E^L=plj+IS4n4*ZYg0 z+U!YWuj^C$r~rTHho1p>0g8a-k^!pMa<7kH$7R>plg`n* z5Q<)iA=_q{HL5FF$B)<{Vs5$B9P2=4g42PD-`$lanb7-Z9*fG*UjBh;6Ryl-q_8WH zq}45U)hAB-MW~3=Z%;dCbb^ld2z1KX<&^Aek67_3$#c$lAoMHw@n&Je=pl+g-^b*P zRQE19=3C$(xncQK;b@xAc(EOUG}gvh7SltMz)Cm`fb8_)y01CyJ;x>vD2SQ)5RupdA{B?jfj-)V#9t>;F z4F9L16Z~saDvPs;Bt~|EDEQ}*6Jvd*k+Rf15iN>$x>r^7h36n-b1USr@&(}PHV?qN zRZ{O<^x4Uv`u{tVU6x%y6qNwmNw6&Tu^qDkKB&@cUB^#(_7x=2A6k;Q`hzNU9rg$N zrLqG}LgU%&@?NQi=vl z@`K+2d4t7H?^fa{`f2Idxn?0qGqx$0w7dSvR6r;9j1$2!8Rggbis-?kOSZmDD}gw4Bp6CF69Pv<`i2H71c+z8X~@FRo=!CPMDhdPEIT&jr?o2cL9(X~Cz~i; z!+d0dfb?xZ0-|h66$q`|%qUyDCEhC+au@FT72^+5J*SJ_BY8~ypf6?7nNDl&ty`$F zC2^rqu9v4>!#s|24EVhn9sp17fg>&byB80_>iYXO3gSX+zyQ>Cyo&^~XobX-$dU6H zuZhQAMd}jfw=gm2$Gz|jDS*{`^C3r2njtcGXH@A1OR zVv+4)Gz>v{)hMOK4++nUujIk7&V@NT;23=<`cXUpQ_ z@=FB%*M$M{%H2*o8DF#IVWbdc82{1Ew{}?^X(e*?&EkM_?3g6Z%3-tZu50_(^f(s- z0>I(c{Cw)waXXB?y&oonJHas&mO!nV220Rm21=v&d?R>66lhF<4CIDdDvR!wqCNNU zrTWRb&qdkKMXVUDxmh1n-VCLqDW|8)Ld(S|mL+3LzpEnkW4K^lA)~qOdq9U9qHfp2 zUA?8Ny&+&k)YeAoz;cahMU{YZoimTdNM#>V6Y9WUUqK=r>kwq8%_%WV@r#E`UYKJ_ z$eb=Vk7K|I2S5%HZBeEXA5-<;C>14j7>mhi>z&P+>k?Z5$ylp!`?K*ky7hI|cDnT` zf2C*xIKiKyHf}WP$sl7~Y#~Ls))==BcWrqCdKa@jk{+gAjkb z64f{w=#wNE*SP8|RvcAuY7`EqDB1~Bog2HNRA%;c05aIuoeXxi$!tbsQ7$j9Z!ub} z6$y7SFO=H9q(+M}2UqkY|HNe!`Bb#X>y-XpA{M&Sr;E~itI!~z@Huh!aiYYDU23*m%j5<1*c$Cd2|&G z0p>9IseU-aNzO9|W8_KR%Vz$T$*hqxWKn1i>(5?>=;!{~DcsMJGtT9=4yjEXrmwri zAMdNUg@@mJ;YgQ_n~i$Cf=7z5c1Y3Hw$gOMfiN=qYLibsvK@ZpRaxnMZPSW&yi~Q5 z+^1RL(D^gS1kPdiLJllOam5T?L9g%F<}yz?s8ss7C}M%egnSCIeXF#Iku(+MS10)t zcM9rip(j*#0|RaD>Nq5IQ^(-dbA6^6)I(lB8G zP=x48bTetRO;lVL-imfV4eg4Hal^4Dbq7ZwGL4z@S!u#ChHHehyF|t)yA67)JEf^J zeO`5=Ydk9&Gx%JH<63#Ki^zA3BqlGwJkLc_9KH`qD=*|pz3{hs{N5Q(AY0-23glaJ zEbS;x3o@A4Ohl%5!=K+7!4n@y+_)-07?1t9312S5c&4~c9iDx|fbG||w*5bJ4jljP zlec!Nag`H8mZIluC({(b7HpL?m+H;rOAg|ZY^%N1EDU7iXe=X~I<{}icI&~tRAZeW zw;Pg(ws%taAqzg`*6#Cy=_aiWeDQQj=#P`yFqPlVgxloL&wr*01WfR+63kmXVKh7TI9CI zfON1bpDa0{6Q!|grjdbGB9MHK0aY*&0vRm9Z*!z3%#yfKvX(e&y>@2x1dFrs_g}fm z&^;u!p@?`$)k7sINFA=(~bwSO^AbW+|w2`Asj2NS+|yxxi~QdP-<{UQn3sXSuZ zzw0(cBBoA8*a-za<(F>Ncm1QR*fO&R+BFw2T(S~8VcuXOp2${BQjW|c-G69IL6+#k zEp?g>ccv9MRT#RodL|`=dRd=}jg5$~FYN!qTb?2vt{#aJa+(KHL3+US;SGu6d6cnK z%0FfOzY%osjwTk`K61MlRnXfQVMDCbs-(wBGKAZ~|QO)0W}I`tK@ zHujf_I-XxWy@Kzt;oQGwVsG!+0YYz>IkMY(J2Cew^=Fh&`(W?!4#H%SE?6o`Y8PxZ{IFMLk7FCeR~t}m&=0RqklS}4 z5pbG`UeQ8YljiPINKJ{956wWtU7zhK&qojBR$JJ%nbS5FFlNk!Qyf9cSjj+fIUQVWv~0hCj2@hu{-#7utdPW>?*r*QFeA|au1 z?9WHX?wy2C`p43f-1(&b2m&x*0is3if}TS`HvR;Qq*y)aVrQvmMapDw$l*kfrVcqz zZPvxT*J-k-V@#Or%su(Tc970>f8ny@$_t2fIFKzM z)D>+AAjJ4)e=m!bE;kFRn049fdQ zh>)`~3DS!Uoc0(fe^KOY{Q4&ZVvAh>Ga4fRZ)SySEU6g6&Q5y@)GHQ=?tXn^YI36fZCd{46qRC1~D**f%_^3 zjsv9OBh7v`Lt6j+5(H{p5@=Pu!J94bMw_`FYB>A4ZmFiwf08#5s~}d~!iv`rw5iK3sbq(hl%x%g4`)>ra~#3_3?JyjVuk zsW-*vKiWZ6IjH`oGJdNr5i~RNt}i5JUOeXii*&kz>@E>;&ss#ugd#|YzZ0+in(t)hEWwz z!-5|c{JmQ6saxRV+f6QbUV;fY8+Zvjd>G8!t2sE~GCG9jY&z^KWBrt>z36y=s zadfdIPiT9elF1AU{aakywr&9y->&-j! zZ7+o<`C6EHCvf>XsjXf;6+^>TzUPf!g(Y?+x8)|Z$w@OLwBaSHA@osMaB+xMZIrHf zQUj1MnPQPqJ{~W_Cyie-7TdZxL$xw|+ENn!&q;myuB>kgeWEdGe%Xwju;>nW;b8 zvg8<&Vk)}i$Yw3`P!R)H%{o+$D(41N)bn_Ze=pDB1nreSjkEe)j;5&Opo%rHU`-i@MS zlQ&qwt<5Zd#Q0X6;Y)!stGKwNO?yX#e{2G%I}1HGejYtXwD8Bj%>9_&G(kXOq=Ff` z^Xx1-AIIU8-2CM1L-Iya?B~Q=Mi3V&T`uS2MnbjnTd&4*`221GX!|Adq-c2&;hyky z4a$4oA4@NaU*36RY{lNiX)-q`9>d@+9Igr>){Pd`(C5lanH_-he!vQY+It2he@3wN zMkdFCVnHx_<$`?(Nm1&TC7V2ljD}M3xMjg+BISB@tg-A$P~c9t1oFiZ_^8sZAp*u< zT*lr04(Z}Jh!_XnWq}Ju&AJLMtP~ga7H|R6XJ&xw6Iq#@L#yB>tuB^b^FqH!@RL;j zEkmS8(NBCQ7t+#VDJ7JZmWRqde@jfRunX5-PiVuB?;7T=X`(UHrubc5+ck_`Q^UXDH=jIx1PFbMUeL3{H;~lX zf$a~Y6dg6pjhd(@6dSwqLIu zlXa6X`>qrSt#8vbgetfmv}!@RU|i{#m;-c0oU%9VN>jn@pz=;1fBBhP;XSQPnk!2F z4T8Fabe)ZK)~-vV56Y?s4Hr+YKf_g?U0#WwNXWPcl)B5KZ-mB4wWE{6xh3zG*Xqu zIF%G=%;a2i5+R*b8&EkmSq3UC%V4E(k?x+H&=@x+XTc-FM#_y%9uOEzp^QktC8fv( zZcEJF*A{Y8e+oY$B$Sk@EJ(W1n{-4&pf@eU8F3PnM9f`5B~|AjfJ#drNd%RcSg$*% zq?#NAP-%GyD~)re-cwXPuQ=k+)>D0kl-%82XGlt&Nthumt1KPV{)s_KC`Ob|u4F zPwSP=wRJtSFNII4t}hdHWzdifP?f>UCK+ZjYks%tH-q8yiBhUfFTN&P?3T5S@!C_;w+&+x*k5T{$FQLm6&&Ko=wC-}co79Xh&rWoRw$&>}F z#m~Z5Jp}8u7VT^iH3wL&80}B4p9dkqe`mcQx=7R!9EU>{S_dD@2-ToPv}B2K zF&7H*_gSq-AfVpJtDh!sV0|p>qFg6o>9)eVkzwoKg3=63^fj9MP8@ z!K(xxH<343N%`CGhPKbpVeUU?tW-(5a$f_{sqPOY(aFXuSBGP-R`QsoA&Su{jSLUZ ze{Zj+^j6y{2#xf%0+Aw7y{d!DyF$zpK138M1dTKTMuDvdW27h({5u4Berb=H)gA7#2wr?ae-?9k@kLj8rsi<*WvezbKlGMtG`GI^f|I=! ztX6mElFeqU4=P~REBL;GCbCmLm@6=dKBd*C$l@q53Y1t|K*>gL!VuW#yd0fuvTjn; z5U0vH;#75Oh-2)~Yi70@;uvQ&b;K1Mr*4nq7z)Dn?p_s_-i0n-=~fbE2A2rnf0IoJ zIBSi~4PC#g-?yzb-`=&Wb`;YJiisRgV2a`3UQ99VAzhgJN+_lm6cah9&|!Ij*fQ|R z(m<~s=#|RW{MbMJnvsQZ?2&q_#LoP20G|Qmg9Ue=g=0~ssCnGc0i{J^8`h=h_Bd5C zoa)~mPD=uxVRy90scL3OMaJ$|e~eZ3#VS)}ST3!vGUHnlvI99YuzACJZ_9Y?*GtHc ztY4#eXJ3~x)jlt)V+vath1F)Nje){zpzy0O$dCP!&lzn+tU!DXRl(IY;ghyOn*9{S z!I^ut^9-B*eN4S1xkM~BJa;{xJLz8EX6BBq_dXC%TG%265JrHVAwZS4e}VuwzUX7_ zg)X3qm=Y$w4wTP*JB*(n-K>HD=w_$XWr_Pme3g5c=?%EnYTWbvxI44daaCFF$YHW8{z!Qr;9u5sE7{@bSEsB1KzInnWH_ z*69-M{7#As$<3Et95!RqJo7~u|R11_=XG&l|H z4_$;Co(;If!WA>Pdcqf9bg5h!kzcC?=L^XTNx7KX0EU#5pg9WM?Xu*j$nYc&M;(wf zBsrS^c~D*Kp17$ne=hA)P$3R5C&@qt0^_PWX)MZ9QNoeZ2{ds?O?75+BFC6ksQ8h4e27RAg{x0BoqJgtf8?g1`Ou?6tnw9gO?(ML zivKQ4P)wG?erS?~c^y-fJUktjAZa6L3{6SwB(els-#RGY4onMZrxw0Jxo}XrysqL4 z>(~LK>G*Tq{nWs~UQG%W$CAlyKY6t9$qhQ+^~-w_x{4;ykFX!O{oN1f_KiRD<5CQ| z)mP~g9S-*Ke+>|$eoav1EGBO=+yL1?=@abU#idV7D1D*}-2hP=$7jMx*G)^r@rdK3 zA!n+WlB>TUS3|@fGM0@hxI>I2k%rc_@HV4iL_rL)DxqG-N7CL?lLOQU~S! zIv*Zf4U~E|fPyqs_fhIyE!E8(CxV|?qZf%rdO7Sxf35CzUZr;$TuqAVvy~oa?j2&( z8#gbW>(4Lq?t*w+tE~H}=<&**U(L|&P^&{;dn1Pdsj7=5aZ)!R#V=}wk}F>(UNjE< zMX7Ktp%H8^l?Q)4J^$;A%9C4v5?^P4rO({SB=n+)-Y>#X`u(l@HhbpJ8_&j5x7N)h zDQ{kRe-xBfn7$(Ku%SrE zW!>CeH=W0&VaA=H?$7IXoWqTf(OMK2!?A2mdIwJJCH}Q_1V$TEtp4UVP-zUm1IBOk zf8)+P2xn*={s~4iEz@?#$-$pgcxaWMK3P-IFK)@rDrc3m z4-gIp+t{DNMZXN3=Ln#~G2mZ))h~ZOE|64@NMa$$))E|%k{fOkMO&-+RmJ1PE8$VJ z)|Y`p%~M3G^~5RL!6Z2w{#r44;$3=3f7~GfTirxKk^JuN`O~8>NND>d{0f!zBJX!J zQs6z$uppBSP4`%t7HcjF^Txjj-SEq=>6ythWnY(hr6Gc4IQB3JkQMIFmPnQR3^E^4 zH}>aCgcUCu6=Zmnl0c+apy|%D;$5l5yXmF0Wa6hOTrFOF;YMDfFPy$1zxEm@f5jVn zaKO_1xpEGTw*PtjKJ;#U@Al;!l#dCW8r9>QW6&l)a5hNj1^3RVx;oBU)rtST|H?t_Yl8N1-{* z!FHJmN!D=r=WUYnVUdYmJFK7>r`BANYXrMvaOp6d9p)=89riqqL;qqKd(kT}F=Mp% zU}=qP(jWi!{WO01Px#i1N3YUE|@Xc0yp8_wY^;Rr?faSu>e>UYy%y#8V z#6jg4AsMJG%14<=y%=IxZ6{DUQL`DSEy_ok^wKsPD9UBFEW&2>Q-qBY%u5;(r-c+P zS!B}7hDkk@EFu>WBS5l3J-7{2(=x?MR8@Gh{}nG(P0MUk%g|g`jWS-Kdk)5I@};jXr3HqtVgXQSz(4FONnq z-zLANjjrQ(aWER)-rnxtYWqQWH99*PoxD8UyN+j5T^>b9O&iDif0KBUv)Ru z@QYn(>P!EUZm<2=+lv-RYdw$_p|^J%x{IgMe=vL_+*8)=s0`voF!>_kpVKjvF#UcJ#BngIJeqo!apggXN5xO}{)qQ3K$Y9`=M#k20|W`6EdPoc z6>wk-FWfm{_LQlaFtsu0paJHq8QtCcTaE|)Ue^I`8cr&L>^lcC$7CXE4 zq_nhgj7$(|wv1xw!jt^@c)FZ;aK)T)=+qS1*LMT7C&}m%#Lq)BxA_GZ0c@)*Hp_EF^gKx8Cb4nzl&3 zk0djNlV_$_PsHbT%Y<<6cUZFMMQo?XJG}gh*p^`JK!AUP7qJ~tY}q~D59eRRwz%ao zb4^a~cvF^n0j;d-lJBfG$Va&W+3{K^^EO|Fq1Sm$e~x>>QM5=;25kL2rR~+BTI)Kz zs#C>A6}MT$ka;Zm+_ zBU5h5B;{kcvojh;3T};*Rkb0Tjg~05LerCs_ zo!vNu>1B2k&c|o*Kk;0iwEk9~zr*4`q9>3vzPa-FrpW>TX*WCo z2&pC7L7-R`iwD(&2PhjQ20^jxj;p}3DE(s)beovfs%hdh{Raf=q=^92Y*a%hdJ3AA ze>v*BAQLi-G;J3lpcK9CWT_5@kV0@o)d$;{BBgd!f)&-$xYAx#o8GsLX;Vs9RXCp_ zYdxbz>zSg6vafYD*zzf|_6$VD6?Ni5W|=I3fpN$~bdoNxy_fx-}p+*Ad2j|7JH zc3lYu*7kuBEe6BtJD9FnOi~zpB}(hce-FCOQezO7NQZItP0Z@3O2RNqC@j7@mk?uh zbqWo_WC*@Ots2ero~>bE;X&mqm5SY+7EHxj*(w}fnTt!cqp z87UqpaxB9`$Hj>z!NP-WF$F{sX4O0;7gD5bqj5w_)b5%&L z*R(sC;H+3pxhtu;to=$SW+f&oxE+o=9G1OD&TLjEgW2`S#bN0VsDC~p2q_xd!obuW zboQD^h|%DXwji*Meh>&^HWv;;YQHSa#s#g~xk7Ji&1@!ZBCUTy1QpS>)$HG@&xA4p zvs_*>X<}$0(fK6?n#@RCl&mJPe*wEm9#iHpyTCDLM`kxwCJ2TsVwf2n7rm*n6ar1e zUI0Kl!oXVfied;D42InC(BD*`D!dwKlLW&RrXz#C0-UOr{lyjS^8Hx{!mpC4xmD3PcBTm@E}ZwPXRtePGqSN_2OHgSFG0dKHtYCG3!DI<41cLxm zVi@d|VX$--F*Gm>xaUkt^DazVAXqz>CSp5aWOX&_nIa;AfwFoM7|d1UW^zO@9p{>9 z3Y_|xX7|$#R`@`Q2nyR|e=u})U>i)fv}OJljv95`!qNI@6*eP9MaG1^&1Os0u#ejBnjk5%;ZWHTq)PS&?f5o2K0>Kh5xPNY- zrm1(Nhplsh1o$c;jwI;Hm@Ij37&f0N62|PH5h_e09>KsDwHVNjm|)XGVIrcq#%L&V zc8yU-8H9#6vjJP09K>PoL-foG1>De}pFDSA*>S=tZmm+1tM}$qwWr5 zriaGiDp?rNj%q#A=r#o+^H8JCS`hO<3JVYZF-&KFzRA?Zuv1WI6{)K^aCNJG+lnaJ zRh|P^CzWYhQLgJ&{bE|YB7R>wK5PC{9O)%+D&LX3QJs~0R+PMwa$C3!#o;uOo4N2)Trx0h{HUWLIW@* zW+AJZIemoWf@4tK+GSbA(?Kz|`idlW45|aXGFY#&%AsAY%IF|w9cU}=8ID2KyH}xu z-VYt9fk>6XNwj|Hz;fi|tCD%e#$5GOu5^;Zl#`ag@gx*#>nbOiPAvolf9J}e=!6TV zUke7{LSe~FKSue^@ed=&Ydd}RDE;tyeu)zq;*_`8nE}`D3QMx>Px>7I07Q+Kp-lo9 zm(hs=77&Z_W4$>qQxqv#ydy=1pzW8nF9K-+t(PA#0!V+{_(>SCZfC?}mZ$~(*gIGA zAC@ow7>|DGUUc2|;)w`IH5^t$Ic>+brN-~Q+)wi*ti0PlZy&+S#ZCd7y=?~>tg@wu zy8Rw|`K}$}nm@A8%h|5mUuNwfOaoXkfq(eW--48%WcZCt%yR? zIKU?v3yOc7@7b@z3};=iNbchN$KJ*JAK6Z`P*_0|8BxwP)Vq4jQ-3ESA5TCn{7pOP zZ4s|nddnVik&JVe5(*BkU52EUp6XMcIFPfpnF>KOXGJ3IlvfFI<+O-$zVx$u5bJJV zB-$egiZlgTLQvSLSg$oaNP^JqS8N2_AEYd2CUAfJ`-v!j^#ifuellhGR)ny=JjLzm z$>(kT9h(EYv2@QWcqN;IDAKSfOT{o1Syo|u%Gg8%!2K-Gfo2-ctMCTVMx;F7#@+s{ zNc_;>;pu6FGup6K>hoYC#I7XdGA*)v!8TlXHWF~0ti@7nHW33okr$c%EXUaZh&0&k zBF=xpapH~V*5h0N)pAK`I3_>K+kxjI9ef)B5xfzf}Po!5?LXXNMpc=lb*4s4CbY8x6|1Dcbi zi64!VT>&TZTmGU`XlA?S2^tPKZYlGR^aG2T0ce0!|7?ey@R;XFjk(}x@U=_?TC@(P zHwP2phesRJGBUz8SF0B&f6op?umGY56M-U>2t0NgT%>SZ-9C9k8Zf?r@g|@xK!JbN zagZ_~>H5KEMJ_3!712GjF0wwmeyEW*wZed4??7Uz5lx2aGtUY?;{RkoN9#87l=<;e zd=cq=w(|qyb_n*fpK_Eo$<-PCOcVx6NossS(Hw_WQl*_5r#-;s zS~xl50rE+|nfh_6?ek4G)4?CkRRVv9nVwK`6*H-v`U|!O9WQ@|`_P!)8h~EwwG&vb zNV^=l0IeES+ggfi9+@~sNtq;EDSA1sm!({{D>XO{U9IvAFpbb?gVMbpAt7G!Wc{K8 z|EW{NZi(JFS|U88nE#Uu#dozwmyrnW7c49SWm+{NaVbQ^`~-=O!JpB$4LN^~-bQTggX28+Q}`#)_>yh?Jvcqx{y2&BjtKaUBfjTIO*r>k;DHD{3)J#O z?whv*sMnG3bMV(oJnQm4CE$OdhU9B-^$wH>MWOY2U>>i;fEWYJrAhtbUdPEEm0zM5 z+CVkMBaDu4JcDpG^*4rXTo!-pH9DU~W&pZMwtf<@@X`kkZkg8ojbL@Z@8yr15L`%qK(_H9(NG3NQNo*W@h+okX`Z| zjxP#L+bUsja&(o`Vu0f=YkoJ4iRMbQGWv zL?i@T-SN{+5o7+wd4Srqy$hYQT2gexcKMbZ$8P_k_vWWJ?_a-r^OH`YLdZvaYqiA- z5k<9iXmTH9vEdNF5SWe$h1L!=2r%rTA?5O}k|I{(CC~NNM~DsuB`np)tfj{brzp~= zK~71hVrQ5evB=JxgoS_J@^zGFgCrcSqHHN}7z6w*7}dvJ4%+kb2|>Y#)#@RT9pQ2!q=cIeSKg=i678Q9w@67hyt7V4+yM%0 zbe{G>*`UB(iXsX9)F5(Tgwck8YmnB>u#sT`7J*$~iHuzq9Qiy{3)|4zDx?RaqO)-& zIC3tsAd|Z>2+U;xf)74pg0c>lHv)(3(=L=zgwDe8HRl$j85jFx8 zf2f%L;8_lq>uI=UflS>cBGILlFcKs#%3Q#u86PL>TR(A!U--#}xqvU2A6~LGFvb@U z1~$z$_ADGh4%VP#z6J+pbV6z5-w5NuPZQXWj;=XJjp0WpB4Sweh3DInXC}a3nLAqL zbpq?V;?l`@o=Q?kBSBbNf3x8`Dm5pSf0e%X5%t1QHxYT!`_4V2YSQH6{S}ypCu~{afYJ?L~z_iUW75bU0!h-#% zRAwI)n;jU#+(Gz{BFj;E61-mYo|Qug-f#p{Kf7n)ufd4>n?z(e4=l?W16X@w%!CywVGyfl;0q&0 z{)DL$#Rz(=&tQE-D%lgkrLSk@&OKPW@H$9_eiQ*(VkNZ%1^0Cf&RNKmxpA=SGJoo? zSTyBX?B~JON{2uoDRQ*Sr4=(Qtp;B+du|BeUN9>-MBoXg&cG+dg2L8Mu@Hu(Hh+^c zM2bPw0#;Enw2jeHQh@?F0KM>MsKyQP--|;;Vwnlz5g8sd4#jee3n9oU0lm9mzZV?i z9yN^3jm)q*d7^UxKCF1~p5m2=I2ha5l#Y0q`u`AV)$`&NJ6xr~@xe0bAvrdl*$iO= zoXjG~K#u9HTg+}p2;k*u5#(elvVTGvd$a4&7*s`Qm4KiYz|5iRqF@j1sGpMi1S|&n z7+WBggU8mG3)xKN->b<^K$nM#zxf($YGSz+D8QJH&CDWL)?v|$-+qBvth!2;ASvIo zhA_Q6&xYo58e$fO7@0-P&#Vfj;+jL;do5DWQvtt8jq`k*Q2Zhn01j3O9gfH?*EQd(;&a1=ZYIfBtWS+W@HB!ALB@}E2~V-dLD zTR%C3Mo!D{ShPOz>?&#x@}tBHVVjtKO7$%!Pg!!m!;~r*^N2;7TVY2aRkp0~U_=5e z*RHBQ1evEECmy+AI)8@>SC2=r0%Lbb``UBU?;LZ}H+~wz!r6CCO#^y($@4i&QG&Yt z_nJ_(0`s=yX7)7wrw70>_VK;e)awpl6M>e-4Nk&pOOF*Sr4w8R9Z}8PWk_l*!y<`& zP|WcyT>$5KiWLtF>;!8{Sv~-n;enFrZXZeEh@+@6GV})^&VLvU$bNwpg{A2Bu}G!| zz5<=?CtwC)B|Oi;me3FM2wy}R%7U3`uilog^9A2*K}F^R?6B4A1lp%!3fTgxl~e@1 z`7&aA9;nLcU@`5K!Dhr>{&|!CMgAy-_+FQ_!`hyMtkeN5(ozRC%1a&4EHTHBW|^r& zTcoBAYLlBfY?m390v7>{mob(C6My9(qh^ZEQ?^S*01*o|F?ih0xJ`^t4j4^woeq|h z!DZ)0=$h0el8W&yn&9ep32id%8M}&CEDUAJE4^muL@|Shf*n@MaGNmf-oa0f-a#`% z@Lhcb=?*m~lqAH?o;CPPvo$zE8rhHyMu2$rxVsLLX&8CImW8;Bjm!ZUx_|hn2+=Ze zl|?Z)`*LDTvV)T!HAkWL2vhS-I~rvUW{HXj=K@oETKm*Gg5+duQALfOSxynk~FTV5-d}uM~ONfOAZ?r z8R-6Di(_Gz;rFih3$L-s=eu4ngz;%3o+v2F37Hx0hWVhq>~K{G3&zw4xMXR}6F(PP zxP`pE6;jF#vwHgN0yHSvRBoo79Tf<~rBM+=FrOO1CVZ9psgAW3(0_&V|&K(V{S2#9QP6>Lqdb2wO@Mnhx&n7pmTb90wjS)0&vd=nlNTgDo|`mssRO7A9H z-|-|!8MZX|(cKDvqs9Bkl5woc-QWSh37B*MiSTuiP*y3Ey}h4#rs_zhCUH75%=#=C zC>yGC%GS}hy8EQknt#cDlM2b8+(_S#o)RDY$SI3aa|foaw87W=Zr{Fr{mwxdVV1Ml zkgJa)nF1q!86c$nH4QPC4~BokPK_1Fjsfucdg%aV z^KG0QnJe06VkS*7Qo+GgGiYF-1qu=PqsOF-}vn5@<0+ed{gto>36+^j{?!nl) z`hgl%m%2%}sI(OV4UH#!S!6dHR8<;mpT?ysC2Chcp8BS19;L=#rhdTii30uMH$ZKi z(gN%m0_-;M^-17YvBU6R*lN$&gB=h~&v(kO(;#!*DWU)@-i<(3a7CMPup*%j*!y6D7FR90OhAfJXp5D2r`H3(XLUfcP8c^Q6lEDsBmvaIT8HBSSE(VvM zngSRp+cYz+jH>Q9%FpDPx{p}Dw|0K<#!O?!ca4sS`z-a)J9qTx$syhC8kgXj0vCUd zSP)PiDe&jHL5&=_Kr1LOe?omfIDS;70V{jnl?x;9+z}Pq%vTHIl4bd>e6+#@KJ_2R z;e;-jcKfe;dcg9L8wv}|W7a{{)e@w<8OhsIZl|^dy{5Igbcs=!|j|Kgttk@gv!SJ2(P&S^_#90APQ+;9(kb zDehTL&xJ7{q^_i!xg*S~$Ccu5z8n|gxSsZX5whnI^ zS3W{T&a+)XN#MDNo;-Y>q-L~?idm^ln#9e}k@m)G9?~yxoJi`=GB@CaBD0}Qrm@*a zb*p?F0x(__Nhy<~C-5;iL|T8?RKbql<2XX22Rr6zEW0(S2oAO?%Jeu3_B(cUwkA*- zfHL1wFI(6)Z7*>=&*ak2jM-dOsA?p1dp$@0?`pBE@c%U)pvwLR$%L=Eee9jQ%h%Bz zB?s>E_~F^ZH!awJ>J@9eN^PEHp3(y>0FIe7dt022EOoykLQ?Y0^0=q9Y$J|1XN8wpnXMJdyXli+fbASjXGJ|eW>I89yjK~66}#4 zB1tPQpHZWmN;bP$?C2R8YV**%xY+O%sH4r9Z1AZ_59r#ii%{0F=g+o-8J3P&2B>) z%EwSTYgN0o(g4)sHBWfkQ-p2c@~Oo~TfAJPEP7I{fe!Y+8oYcJRGo+e4y<3fCZ6JA zv)d4hJyT{FmA-!yJiY6xpzRbb6W|^_qFBE5Wh57+6Sgo*Mp_7sR{ZS2&n5%-q_AZp zfE8LgqLtHfEz;@8lQL7~ENgFcuX8LFOJjUiNtc4OsBCl?dl@^ zn2G|t&N2ZUs*Yom6DnPO`J(Y@m=htuzh0soNLN~#ivc(q>TRUni;EYpU%Yv7c6MeM z=F_r{PN-LpK&OC%oJ9q?5h!ObE>q^;%lzOAn)Spn_YlZK2uVux)B!2}nE3eiC%CI% zQR3ufjCX&D9eBkX%rC6YS>E1_-v&ijsASpV+zN~anFpE%8ViDKfW&u!kDmr^T^1vC zv@1_!UN?BkLBI{|F|E>}M~3pR#O7zVlt(?4b) zdOks}>RX3VYxa6HKAJu?{Z`nVtB*=nm98;jlA zi&Te~J2f8`XvG?@tBBHqA@7&w3Fuk#742^!|jcEHeg()gE!6`Jhr zg>5BRmZ)XHC=;Zi*>S!68Jen^OE>BGYd}XSP8bh@3<-Mt8~7d7(bPhf``BnicxA!K z=t;2Ca5%n%buvot-N`8LPs1p_VqwKstgJYFX)F0Q^2ro9ePO3z5Re(;!z&H{J!@j2 zA0F~FkK-ng-b}+gaeu#~vpIU%xrqSLT7@vabwI!wKJmj8g&WG4PP|-H@0X~d0w6UX z)?-9a&v-A>f{nv&9}eDNPZjVrxU~em#=jW+cF=otYhfJLl%mYQ8*6J*7JDpE?dIM7 zm;IpvFIz2e5{}G<$ff}+8YMzbp*0~K?%UIDN_T)+9`(D#>}uWNA|is|q$tu`6$fYVVl@A`KnxbN~oGpVaNY(l4<3;7%a+nBLGrBK3%M zT3b@ZUbYvPj|g`Aq2`_vfP&`Fb@vy<{$@Fym@ZBM2>xYUUf8oW*6(lfIFcR6mQN1u zsnN6fy_ebA(6?Q2!LX3eob8RDeIb8pA3$rLd!kk_3!-|=*B$9Fld8DUAs>D^G9GsY zW^LY9>yrvvY8S(*6d1=x{UcbExbg$=o-7e+AiIRo7j#K?VCrlHPvF4S7m^6XR++aZ)l6(!0Qw-*tbeeUkND z^RbW`bopJ+^Rsi!lY{__B^VyF^7BuY^;*9xOF-49W%cw7!ayeUr}BHEHAn|TW&7r# zH#`GtkOltk`@CWCm2LK~ZEg0JFKA7#hBvseDTDYg;X(E<>$1Yf8)pfsR^Y58KYUcv zlI4+j86s^@!NnJ1kP zVAA`V=Wskutm3gq^Vy*W@Wjtx$bWv}iToxm!vFTN*D3#Jkldjk*Kp1|@PB6L@`)mX zwGI7gC*MOS@Sbhx8-_dq@xGy+2w8B9eitaFMo)SE$IiBhBK5=#z;%Y6yl;z+u71?n=fz??(hPh% zyEXKHRsju}Fe-=Q#J!J3lF69qd2+_o16(scmaiLSJdR7dbJhsYJY=aq)C^Ty?^JuY z=kCAiuA7Dyme({Jv^YF6tWMxLJx-*Fo-Vby%vh3x+LmUOm^Wy@wB5w>^7m z9EUz`?nzyE3zBpd>Ru{04paNofPCN|tX1}H<9uWyhT!+3F4aNT zR*yFrABdKH6~6|v_4-uQa`p0)8Q5Y=`AO4r4;2P=$(Da@gTMXnpMNhz{+B)eTmJPw zeo`Q|~seCr6& zw+?Xo%>(=xf9nvR)d2dp`iPMyVj~@C(?`lG&_l>hy)@(yIYQ{R7rGNZ%!Y}8Y=SV|&lW7!f=2V!$e$z3|;WFGSnN@DVBda}6W5hg!;+@`` zix-{uy%(L{>!0y&ug^OrLmxK(;Zx7?=!HvtMwD{gr^1ONacAeSAf0w;g# zkK#5E|Gs}k6!mMHkmV_{oEBJGR9GTFJ@u32O$Kl`*qq~VZ2$UB9&p(u;nlk(?Mg@_ z_INz=n};Xk=BLfl)7Bt;CitCR`o+{W;Er$^pWo?$n*ChYKecX}ze>7VFo$}5u0YPH z1FaiPYuef)UBaFI7%ay^s6Jl_seFIEWi|~vi(nL}JPMmi{72^Zavm&!t8m!Yjkj!u z!Ub;(Gmt1JB*I-n}M`sP8IluA8{a_m{*NV4g)cdcljn(YIaCBk$fn0#Wc-*f-ZkJY)KWG zOmA6RwTw)?(x}){teFdLFW^qNIL}b@Tl2zRjXHvW|!fsq|wFlh}aRgUV zcpgYHU{6Lz1YxM`8}GH#yjg#0^vn`@@Ks`7KYBOIWU>NqQxo~@C;hJ8s#`T{e`@-& zLSYB}_V|AMCut8xo!@uPldJK$Somxic#Js~1}3Z(lOlO8@S*+s^`C7t+{gb9%hDW~&f0)KcA2Z~IooP#2h z7mm>Q%r`JXctn3>^Eg}@<$8hOlFY}NB(g7Ic(S}ZWXAD1w5C02Ko#fkw|gXa=Av3l+21*`>2@Yokk%jMT)P1 z_)2W*`xhTal?05DWDwS%+h{X7fy8}P!Z<|f=w5NYuH6<1AiGZ-k1kha#y0K+=_ePT z-l${5^<9)_j_s3pPq|Y0DBw(m{1U)f=+v!ixy}zwI48q&P9A@%TSY31eyAt?fv?na z0x$lEIyuzJAI_QHAa(Lt-zZf-AXvgvSWBK8dW};3V`ZtKz|Zsevm@yRd^`7uErkN- zJa5Ays&OrM%Sv8-@ zFF0!DBK4q9kHCKumjYMaH5EbylBPn~dp0KhM=}Jp5U$Z<;dOw$pgxd$cTK}KUHWuA zEJA{sd0Qlxi`wv{&u8LX%nq%Lk439-`=8YGsAg8BaV;CZI`AbZ*ga@n{3u_eBd(A3 zV5bWZxFoy>Ol~BmlKzG9FXT%WzuXjHRj!o*u7X3xT}(yn59Mkha7Y(`VP{xNI>V=y zfCuqNGu)PQ8S$MsM)iNtC_D(q>9ws@{ciQycJ)ZQIO;};Ds@R3$7$2Zy5;`|P)h>@ zm%;D?8n?hu9^V5CL5K~m`kk&;q| z?q)!wyFt1^q<(;O=YW88cS}nN$l09pFPs<8^>FRkGrZZczW2J<=ib*2S65ZQ!=}Op zfk1dlin5x(_u$h9i~;N`^}lBWUs#Tc1}-2Fio(+eWvxExB?v?hQj(R{_WXL3<>h|} z(Q7;D5MQ3Gv*nAl`Y*b57$?PUe*X2>!fx6NV(?pMEQF(0EQKOyw~G_IRLJe{Tt-?t zgaQWRYKpy<$p!bS!ZC>l(BO~(r?5?x24(}KKGjDnXtb4yk52$>S@Uhd%!ncr^6K{f zgW8zub-G5t*lpJ8iHCn^0#peVAq9VnIMx&ll+qt2L=b|h{J1>%AYC5Lo2y}on2@QYpRo_JR6leuotL~D)zJE*Pw zIfWWTnneQt1qR&HA2i=!WeIP*5ETFk1h8J2v=XICERWhj2Gb-xSf zYrv${lE_q%bvhnLuGM~Ax|t89_%Hst(yDq%o@M`YpS3CiB6_8Ee~`Dx;7D>o*wTpg zeMe_c^dA8zuxTblh6N9cm}(Z@?U_q}I?!cw*xhk-Xc#9?jCi`?41IsAmS-u3g1>5B zPEGMMiu?{$9}KqoGoHyoh)#QKSs8|VC6fTHwxZUY(D)DD?DTR$?Fa5{?BflA*uR_2 zi*{8pS_M8T9h!>DN;x|_yNNqoJUrIWJE?@qmn)}fY19&=J!xI9iMQoNAG5TnK#CvDZoq}rO%8g z`1oG5c1UQ%omJP^=bdl|<~sKJRGAhf1oCy39|IGU2tV{}U7clATualahr!(?ID@-G zaCdjN;1HbPFh~d<2sXG|f=h6B*C4^&-JQ$(p7Y&r-P?b|7gk{!!l z$lVeXO%0Xo44@yiyrY$9zWgT9N%GsSUN<#d9GIx0$~Ma^Lm)&U=#gMLi(Drn^B@3D zmpXP-ZvCVD7WGQMb%tAd;Y9bm*2av*op=74l7-<_r{98~+C0iJ_MnzoN9=&)eXIxM zK9QM%d^65@XC>32?7Q#H#ZUj1?)7qoIGKWqS4rug6+0r<<`z!L+bgw{NtF<`I-kd zwgh9zKxcR_BYVWL-Ep@TdBk!a%FB2`fo!XlIlBve?4hyLQ#QMm->)qzBDjjMLcJ4K z)f^?mB8?<%t+o5#0zA@G?SfCWz|6_f0pSa5$3m{5mFkS3mmw@$)alSr*nwIwDxZw3 zjWk?RKp_i@aKPQE)cgi@)q>f>db9WPLQt@k7CO4vF@`3BaFKu13VVP<8b-LV+n?om z{5*Ye^}Mvu$g!szC?ZVD&F)_QnrL`4iXD;ie?CQK|YtdrA-_Y17wWT?JdU6s0 z_8B`W-a7C3vJNicsRpEHQY11IVKKC{SmW#K8(Uc>ixbYt&aS4eafbq?tvwnvTowX| z$A58Nmrl^uaSTS5+}+uk_29zOAjZ<=Ts_WmSR|M;^|~=f?{OQ?a;xTGv4m^5hCSuW zGt{mr+48egcbuK4oMtk1 zHNP)PTY7Qx7_Sn9!5xbP+E75`BCH**v__azOo|vvH3?byXL!K$qmk*#=dEid;j$+Ty$~0-%cw~G`fHHTk-CRR zhUkM%D>`3xh1{PP&$OB9qlMto@bK_0GfPSaAv^4?94!)bYDdQdzHRT+TQT6hoA7ae z!^)9=tiQRKOqd+l3+~coK<~a^=hNBr(<>8CV9%3o%kX(&oDi%27#_cQuXLQN#Kn=^ zymv7D80$lo(7Z#XPEW(zY6sY)d}3p>k}yP7QM5D-*PkS%*!WRtU1N2--PSzRODcR+ z?_~+&Ln7zIT!*sDcw{J*8>uo4_WYXBYS?Avc?$uw^%)&FQ4?>~6|boGy%74=)`Hs> z4fI!bVncpk1ov<^Y?xm5J@%TD(PvrcD1=}JMDI+ApCu)AeU&swIPT-!YrUm@A;{~J zx49l=+Jy)3@bDe{%9_kB{A=pL6Iy%zEtJ$6U$Hs}7%EHze`STu|DKV`&Gde0A>WXO z6%%FjoPz2G^EwIT$K-$dl%+3!=^=Y^y+IXNQBza1jMqqK<=n>a?A^A@z3(6()rQw< zbo4za87}#)>AkpftGNs(tj0?~mJ?+;1%5+2Zvx-jJ+W+D3qDHk2HVqJgHg=4r0>il zuC!!_s6S5Z2oZG9(P_lloB__NrbYg4sWF7~1WH6nU|Ho5@U{bx@V!@~9u5xz>fbp;Zi zcM$q7gJDWiV^9h1p-M`y*-kvANk<%WL`jk&FM<>BSJOelT-hJ*6-I_tMep{0lGJ=2 zT0z3vlk7}Ag)&e*KethIe)~0wRXN%XkPc;ly(#ltEjMhStutWjhwld6evj?APNg)( zEP`u3P}A#XApq&!15>>M-+=R<%$;H>ilNYcfQMdWErpVqi}Qlv_x116h4UIe3P$*> z4m2^nOfrp+v*#};l3yJVV@4BM^Og(ak2k>kkbHv(V}b9GQm7A5g3@>L;}ZrEwV1u( zh%r%dab!;>iiAkW-K61IEP{egFv z4?dOTj&ROITDg%(kij%TY>plsy*U!#Bpv3Kp`b@q@3j5#J7>Ac8&aW1(QyKd$0_O&PZ2{5bLa2Fy+CryQ z@9&!t_idZn#~iUIDdV4s{!4|Y*`=kI1Ymc~MDa0v1AOBp+fS?s`$z-N-;KWdReb!O zj@|?~yKhr+4ytdmYrBwK2G* z-NM4m8F42l;Rt~ZkFWEFXh_2RE!o1?96CWmX(*+$RC9T2 z!RC`xY8|T>g}9r|9q^o(_-v{{qPSS_k)Gnp**i$_nv`_cy~Dw|-~;s}V%G!Py&rRP zb~afwPD@=42MobH6cowsDnSXINgvpMP{3E`MxJIqOxMjvi2ro;EvvEzMoueI3r;hJZ(UMOYF6kc&4(9FN(JntZ>>rGIu&Hl8+~M{3G=J&q)nXErBg?!z!-se zZ6O-gjQwAUst(+b51Epe={1;i=dowywL48lZMoR-wbj>ql;n$(nIw$tqN?C5$czt8 z^8L8)7Ru5yw6XMriw0ZNG3*2m50Iqc*!4D&yVWwPF00jn$h#xZvReS3oJwm=!Or?F@$#^2{}rkwJ0PF>nRxS_Hmk z>02zjOSrIg*+46evxY1<6Q^zLqv%g96-Hw*9j{Qn+Xe5Ox4fI2ac@xtg(E%5cH1ow zn|pt8k+6MjD8K;RA&BnitTZ;_0ExnIrqBn2JLsJrl`r|Wwnidt&~6?)%VG{%j1LQ* z=N=e$S(S+AtQpq$Ub0GuWEP|K+pwH6%oCB~bVU<{y@gWOY|A^{fur0x4oTD=kR6Zv(>jaSGT7Zl1Y@GW zRG$|eM}|JAZU;9cO#e?Zv(9u)i-lnCV>iX*Yy`Odq9Y z;9Bslf@tMJl6Klt=xaBoZe7+`?Q*|#B&Q03qsrdK4%|9UQkIB%uYcWs!0XG=*mB8d zL#IBOB*76z9&J@^?Rx4zkmZgB_^01*d-lp8oG8YdlS#1YqVv*?{I;WVAowj6)uidF zt>z}^v+tEF%xkGJj_TM4>YQU1+VHY(w|+FDbUm@K*lZ>7qfc_HZ4Xy^Q(bS#BvRGy zpY1X`eIKQzkqax)H(5$}8-HgA1VV3X+kv771GTjI z){a9KT@x&n%2p}o_G*3IG!Xre4HuBbPq5D~5MqSJ1;97lre$?Bz+UVxE|y43mwGk) zX_wszm0PR>z~A!r{8Iu&X(Vt3L#2A+@=5TdJ3HgbtK+B%bKGv$qa4&YN~<{FGIe>; zx;ZHVqjkQRGW9V@JU|*etQ7wC_s^-6F#so&;}G1PrSBfN7R-_pV1ytN9#C$}+Y*-d zg5$qP+tlb9cl;s#Glp`G@!4tJgD<2(?Y#d*y**i#NY$s_i$gv+c5gr`3Iie~2& zG2F4$PYR=DWKW+P$IJ@qsvQ;~{Y2hf!e^dq(r!A4XM-Si9%b_^u;1IC0x%C-U#d7} z;clv;KK%m9%JgY~A`+b{N+bZFUZ_eT^HqR@s7P;Tjj{8@Bv)LZ!0Hd$n#ZYtRJ#-A7~6A6hAee51>Dq6%mDCwRc9 z*N6cnARAfHYJP;3r5}UHH&ZoS*x~~G8i+$g0@_5{)p&6BZ7*K~s8}n$AG5q)=fYl& zv8{h<-u8H97McjGzj_}=LhPAGZv7WU>AgAljySF#>R$7jc*E{4BwzH)IP6@rngGEz zmxc|PL*wmFmgUs(7$S+dvr&}OI>DkLTmggKF0*HZ)$}mrxA>gNsPVYbRia?&zGTZS zlgXWxDEvB+IHR+aq;RNJvg{O5Ib!iB60b{l9ZbQ_jNgAJk!d>rwpfrlauctojD56B zCTx&csd{Fke_a0I;O*`08pUH#`c|SHaTt}et)cfMuRJ`%b-zR0mpbc#M}zD!}{7J|3y_HcoQ$ z%%n!=%|EV4f8*ni5TO)B>+_M!PIzP+>d*}&2^EP~XNi~o6x~%2ZtwOT$BIZFlF|-k zvA?anuGH2l|0|fB!3Nz!%k;V!-fke`t~`^MT}6@fEP(0zXsr%*7@f%_>H1p$E;4#< z_?|#4BTspUE`voZ9&+dZb^NL%pxSMzPr4(Ehr!^x&UO^9RVYF3(AJt>prw6A!`k4< zd!@JC=p<)ZH#Ny^H}5tzuMa<-dHrE6&q?SJXsfTSp1Hexn!kAH)HhDtU)J0Y<;q8F z=mi9G$c$Qfi_3(8PnaNQ9Z|%v_V#lG{6hrrYf5$$0o$kTc;Czk({~&5M?qq zMVEtfTJoPQ>=nL7LAit8FO%X!-Yi4q=1=<`zXFZOa%A?vHHyr}R!n++`Lgj5qr`;Y}zi^$1e0lp~(zQ?EaHxmiyC3)fXAT=DG znvwjX#|izvdhL`Gk!2kx&*}_RQ&r?1z$mkJOfE*Wi{sV_w2szOuYy!=*Dg2QTqus7 z?#;ZJi+DD0oUU8xF9bO4Hrv{=i+Mj%R(C$Uw=}lOdM3d21T9@-L}!84npy1k?*WV# zI>8--&5B3Fi#)iC6(R)W=L0R}+Lu>@njS?T3PB~cK1yf`hjRq>U2vGMjFoRm=VG~h zQ-6+G{%$|2OkwN!bQhOZKCK|+jIPZT10#qYOSdeEJBk1J@yag9G8`Vt)|44E zGi`j$s^h*u$@^Q!sU%X9{hI5>gRtQA1sus0(S>*5=Nb zXCVU}o$ghh|2$w`m;5_PNr~mdUD~PB@h&rDhsWj9vBf}*F!VE3 z$#mt+%#4%M12hYVj#6bTWKUmEIaoUe3}YAFc9;781A<3V$I1MgFFG1p^Ol^)mP49t z2>*vH5%3G!u{N{o;G80apXQ{qM;5!~+hkvTrdE6WyDAH9{N^umRpl$Ve*QpBk=GZY zXs!U6@FL2>?+KqGwl{src9umS9eb0@_O{c4H7fX&T5yH1@zfyx8oeiBp>f0D-|KTb z`G?Rn1nB506=vs8CA6Ksx5t0SvVtx6OuQ`%BcUEo` zo@D^r7nL>g(x@d=7CtDFW8Mxw?qOrEtr>eg3h{qjorJw^a$o+q@5@Z4H@Byjm3_{F zMb!71W(DjkAt(299J63ly+KMbH<@y({&$y7u~@$lbX);v~K4g{J6 zylU=j?a8F5y&Bu*w;Q9zX^vshGbBRg$axz#08`}7Ji%!JN0C*5d6tSey+3hujid1{ z?$SODDkY}DILq5625?F44U0{P?KV7J(L5jdNyj0lF#HCzULlimQ?T^+R+^MlLr8&L<7#AorE{R|~o$d18!bbi^ zB;jry80c96Fbej;&>Asq*^2`4c$|jqqJ!QFQw|}*sS}4bx$Sj%o7{=I5}c%%S=;-e zp0GLePChsW1px2usAD^1W2P?=K!{q!D(j-Z$$Z7}vXzaDA*@_VTlx58_AT85Dm!Go^=7F+$rfb(CQdXd zpmx1h_j!Ai*F6``PRrDadc+}NruA&bQ_M-HDzUd_f6~QZ#Dv00T{0MFv{qydXjly1 zcGh&((nbho_b@YeS_8XX^<>~SL5t6+PfyXGtKRaokk~c!qAH*jb<`+t7DGpuC-j@s zt2{NyBuuS>SF`wk35z3LJHgU^&Q)jUNu%tw(2I6F4gzOk;|=?Bjmhzb3@&rQAc>VoVUsQmO3M^=VB(d2Y!+LL zhz9HB0nRUKszB_S{^SKy8POzw1{3;8%`e7~wetGcsSr9}&q7okdUo^t;3~=1tAjZf z6fZW}jQ4EZBuWHfoWHPa6T)JDd8F{U6YxFJNmcSISvTFAr~z1dijr)SwU302vp|bg zrXA{Fs#)s}b-04Q_Kew~A#2Qs?}4hqg0H^hk`iWenu{Wg#`}Q0^7zq>DYd~8EjA_~ zox!@GVZ$sAB|#_;UVLAo$-^YtTG(%OB!Qf-K0Hh!oE9GOst?cm^`k_*pL zWy2?A8!ZCwBs&PIEOdSbg_2;^{rK^$h?Cm=bB9)CsVZiVlwkFF&HH@#+0U!(q>N8> zw|I|t$3Xj2ozFsI#p&tp%ntt!p1+aN^wL`(CKeuc6dk9i?Zty->6dj9TFT(Khd(P| zBA6s)fTiw~!boR)@Kf*T=#nE3$f;hJx`!A3lZdWv&eTe!8NbmB&-rK0SBA}RBpA-u zjqP)@)ud1RG$l*!-PIlHy~v96o0%BIku#Z!VW%s|AQ<>q?NGMzMqbgsin;dR1erb6>EgH6N)!Un6L=^VSK|L%nMV!Gv3Et89mdtMR`oxlmEtS?n+9h=r|1?({YjK1}{?W9F1KGz2BouNO{F=LrG zJ$BQ4%SbDI*(|oP)l03*A`N|s{em)V^8lK-y2+X(Bu{-=D}IQ+$W*NU^l*??yz+6` z-lXIN+6No0#f@>ulI-8Ufi~?O@y}LYrWYTP;yGbE)&&$dAUJ+*6lRv^b z9o#k?oOn&<2bmh*iz~vwGfsn5Q!a=I>Td*e)CJL>x2y867+l_-hdCrLoM*2504#B~ zESOm)&66CC#?S(Cj%YB&2P4hN?-`|oX=i@#KLw;~p^139DO9w60x6ocvNdVv@g8!d zxkUQr560j3$9#0Fqqv)fQi#=d`k+Y6@^t;t*?K^}-ZbwvGJ19p97{X-l*_4yEB(tm z355!G7_Oxcj_x~0L*SJslC7ZCxG_tSCP+l2gO^j8c>7o>gzE_2V28@ADtsfnJiKS>EV0I;-i{Ga?R88{`>2=R1 zG#nb(Q^qIcprTV3VsX{7fbg3GrO>^j0fmZDwbT+Q@1@Tsu4=A zJ&+~dQbm&h@R0}Gr8q(`>$UHaBgSOw&tA(Bp`UTCRcFCF- zjEE5gI+(4&5p03S8cZ!2sn#}jKD!Jv zQMAK5hZs2vKUN17MXHW+@}Y9Le=c+_6a18OOjvLs0@jM+gv-x++=^Q|8owIKFpb)b zM+v0aL4bNB5oz^1%r0u>#eJb#2i@3iusv!>Y15391qY8D!r~I|In`{9#JGOObRCHL{lRb^m`^(2;s8Cdl^oB7SPw?Q|IH`5&R-WZKTeZ z2R4d1LiH`;juGShiBq9U>4L`kwT762kZqoc^Dd(+SIFtaWXk<*vHVN>eP38{B1Ufd zguE^bDuP-Pw=h3E98*~ZEqAGmBvA7}aj9lJ5ZshAMOTKCQC9IDM!iRo8`E8b`YOxt zwK9r0h;=An%H~p@IJg@W{2oESP|ZXA2zA9lHs`-Rj#Eje#Vue(zO~2{+8;_1gft?q z5=sA=I${zS3EQJ>!BRDPxUoSX_kr+oPy>F*HkzzvxH;Ql@I|*CUhz(|%ucL79hJj$ z7kq@=brQsU2s+UL7z&(7J}_xG&lpSxrFYSL|GJkbxCUg1@Tuu@&Ydoaex~?dz?@CC zF-m9b=?L1HgS{{J98t6Jn}TAu%@J7@y*5Ijvkc{r7IxzrjJ}+>`QnV|Vd{9^i3$7# zv(1p)8!PfuXFLYO7>{GxQzE+YLWTLo0KDI7A&*$BlZPgB^gUDiz-&$9C)XccEaZ!( zt98R;gt*69twj~n%@I4ltXCWk^a){m-ipoJR|f8OH3guwW^o^LT^_7i!J(+_bYfd0 zeU0V~*Eg<~VlDT{mdr`!I;Pl|?W|J!h{s;=XHIQO9U4$9%fPqYXgN2NSDeRH3-GX2 znEKGl_-C6>;t)p(@hrpdFMqL|oCk*O{EAYklgk>;6`Gl|5gVHt=k67eojq$R*}9O9j}i&ocw@`n-o1p_-6e9v=-7lu-=U#UYh6n zr}&OMXK>W?<}JJeZ`b;y4wdsg>;*PqqelDeftvhDTU)ZlPwTN-^%fDR6o5SlJC!Hq zxJ8%z2UXpxXv0DZkTkSb*$6r(@Fo`L&(BS}E(CH}%d>G%evc`F5nr~ZA#epqwfa@ed@ zui_z$mJN!=pvs6#45TzzZ(Q0hQujh-n>9Lh;XmKC615yV_TU(1vr_R^c_HGIiv6PE z#eVvBcPgACB_e65beV_&^GrYVb2tFPj{4ZZyA2G0N37-*EtrP_09+yMM?ifb1~7pU znh0`u1jK>J9Rmr0n9%P6I!Meh5QAvk|Frj|@9h}Ucnp*T4q!ok z>;Z8SPO&f`4>v#*2+IkO6u6D|KT>#z?FsM$G2az}C|w2=zy=u;@ZldbfPd}aC%oYk zL7Gm04A2U7P>^bSAU5RT1jq`lI`uDMG(f>ZBu?KIY|j1_g`B=C1fT-{Q6Kyd6*2xx zsAumqA@?uEvI1ctI%n^TAFTfsLH?rv^XS(4 z3m7!?pB@{MegPB%N)7)5OO-%0$lJxc%ZJ171;wj?_z>6&AO=L^^8M{B&On?lfg-@w zSxD6-kc8y_-kYQc0Py~O=0Ba`JP@+p`o0+I3djIVeT6Vz0ac-Y0-zy*{~63dK|{Ls zfy9urD0g^ycZ-Cs; q8ZiGQb?C-_24oPaTOcPi3GBa0DYx%R!T&=iw?HB|I5_BccmEGlSW_VY delta 119868 zcmY&;V{oQTv~4m;CdR~>Ol;e>ZQHi)0e=l(fWUDdnSUe);1 zUA^|YEqfq+B@0|SErqtvOBcTFcOSOf6}(EkZ%ygqE*#OrSO zk79NOxd~UA3D@%q<)s}7FHRg3YnLv=I_FT!>r@-2yY8U6>d>cAr8VDdT0< zX)rd({=Ak8s53#7JgWx;%l>Ux(Uc?SHV=o^b7({49KDjI>`;~F?CCSI6&f>3I zblDYxSzv3&<#i5_lDsg`gj^%|zK)c8iTl*f`N57%OZsy%DTfUZ@k|n99Z|fD9Nlu! zvtd-kMhH1ihIyAYT}qd8HNyMqYa=W{HK17kf(qK>d?~-@*4YF!&WjN6?^${0Cn9t) zOtlYQXP`bG00vLr<>n2=?N00WBX8TPCrId#Dn@==cP+O5e9oV!a|lKSk1ZI++Fq6G zn6z-2p_J`Dod!^>Wu}Q00WO4=jMgX3A)|pC-;Ry=c45FPgaV4YblB6Y8c(araAWk1zjN?v)hr(S+!K@V#jq$5CdIQxq&B(>`w~C`|e*ZBI5!LDBsS& z;Y#cs7;}-pz*=M)AR$cCk6yx!0CcP%R6XCZC^Rsv zV{6ty9WJ>=*`cJ6lHW&6ZoRqYy!=?ir=j^(LQ|z zGcQVuN1?@u;Bqi;+$y`b*A2R0XqJEIm=p;DE#V_sI_xJrT>PRH9>o~j-E^|_{VT;U z7q|to>s0o|4mzz|fE$$MsA;gP^@p4g|JY;`>?8Yx{42x7@3Ay%bfOk7Z>?%90lt|P z`Ts(p{(_fa69+Ujtcbb#r27>Z@yv~Td z6}XP18!4=e_cVwiA?wZrGq(IhiWWxxdRa5%s}G3yd(E;l0);P`nnm6WVk!S%BAdM^ zdU-TB`O~bh}WYzwYf_nd>g-VV{Y-?HQNI_Wv0sNkp|wR}Z2 zO4W~to-2#LHyBX)`#u8KQtx{?zdQ2Lt(^Sa=f4*7u=PAp#GOsf>XQ0 zOpa;OAB>Hv_AwBq5+~n~0e3rKyPfj7p8KRSUqB+&GU}SQ%iY}aT5$slLk@jtv6+Bz zCxAt`($CkS=`kFwe*pTg%vxCMqNnccWX#2R7?-p|x5o?9ASaUDGo09uP(Ir$mb4i? zguSL7k3R&@{!AhPEWzI~{ATd3H@d@eOqP3i?kUiO*N(zcc#r~j7hWTP-#$-ByCWUF z;s2~_IIxye;gR{T@%E4dRh>-UKS2i<(fb7~BO(@~nWFPuB2s_zdU^=_(UObOKhWIqK(Q*uXE&WtN?-74!mgo5fCDpxx$~C9-clIGX+lf zOGa7F;dDCPk@tGQRoNCG*ls%OPU;w6^oZ6;wbz0q{DnXgy~-%dLVdE)fgYdlvemc3B%=z!dQjm~45Z8b%aiF} zKj2M14uX-Q><%{v=l(>X^%3~D-H4Pvgo@C%J|WHg<1BD{zlZ`DBa1Kb7?cmXM55o4 zT1L}lNuHk4lIvDGyzP;yj_Qs>V z3iYoNIz)&NE$_)tXi9pIwcl%0^Hv;G-)qnq7z911>SJ#1`V8!(V3XpVHVFub7{tr; zKv!84Dr{xbZW;sW5O99-*CYpGMnjD%rtl>hL}wE!)viIn%jX56KJa09h`-luU*1k6 z6G2)YTC&vn8FtGlI(tUx9Z`0XneZ1o!CtzeNb8IyztsAyyND`+jOL}iyzJ}_Oe4J@ zBA8nM)+W!Ee0On=-4|w=Fwd3`0}4@W2|-DnR!m83RTBscMl}!cTSQe;X=at>mh&N_ z#Kh0A!Y-lKz7f#Uj}07l$z2}#t5o1>eS+~`U$sR z!No$kMV>GIdqdlGU*=zIMB}Ci^)oSzIcmzMiPaI6SFoJez?0oBd zo;&EkE)NW&my}_yUf|8+<~bY+Kqe15m|^l2cv~OZWO`jg-o2g)n(sljzmECzxsl;~ zarIy&0Np+J30%c&^sVBZs6!HEj(u#Nb6>jBc`ZQs7y z>E1JxysGKHhR2>+-Sqw#&4tGfhlTiZxJx&5%|NCbGDB$n<@YNK33@rGP$6hOHt2p# zkf0L>Ar#Gby9J{48e#+ME zH$1~%MmRNJE*=R{&+|2cou8z*4!rVr+7{-YuX;}@w;e{PSp3J^3O0WiA9bF8@?J|8 z8t~LDcN}M)PjwG?3HChYoa4bYbn=d*cAM>e>vW48h4#2W@rRq37Kw`(bP6X1(1Ta2 zS+LF@h#>nUKvbH9_zJT(GOcMwKSHWGX-kR8(`h<~l!2kzGx zJi-a!y>G3gXRYV(2??E<=z2|$yuw8GwaEWjE(z-zm|K2c5l6209tM3Fg~!V6?J2Uc z;S=`t*oE8mJ*g*GwC22h=MkC-@M!P+%zA<-Wk^|R;7WN&A&RIl|Cw}5$l=shIurlY zK+$y{3Z7xawj;bpf$l zC$h)#yaBx?0;VCj&kAF_LY=E@`?`w6n+Gnl-gi=o_BNJfTf5MIP`hdVre{Pycp=r8vKG2b8Ab0~^{WeaIJhLx zEyQ5Vok8iO10Gx41iID{P*mJT7zh_92>bz8RtPeMj=2=`T#7c%j$gWYz(*V#b)1LU zOysaOb@sh?Fm+Ep)|!$DfnRs)CaS6+!3G^ZD(Stu@VwNg&1?T^)5HmlHMQq-Idha6 z&~;~F8`0%N$l~gpQ$ycr>s_$Hxhj!g?!LxfRlS0G^!l;HD*1#D7<-!C8Z=rl)?2h=yOZ&cYzw75-bM=9QRrX zyr52B61Cr9^`UU^6X@n5#?&MRVg;CixPS;xJ^o4!fSBy6t)vc$lv!bBz!G#o;=#xj$i z4IZ6#ywYzJnuYXSofVtKU7AC12iJiyJ4>ESQl;E&}dnoqP<2`CIiO0{5Y zEQxSrsLD@3{&r5!dd->k{T)``sqxbDjj2=%gwvOi>Q}R;_Rv%cueZamgBazBo&5-& zb9E-up_F9&`r&~bZgJ(AB(l0voWl6x(RP0P3W3KlIcxhYTCZ8x^hbLB+-=#LV1#!f zuX|is?XFnBuA8Fd>2A|>wGo?}-kv6OuIBXC=*JX*_2VS+`ew}qP`RYB^S;u$kgsfu z9(B%46Wd`K!QJr~zDu}oQn-PPB*|Fv-FJD+BAL$KafSjF>$ncr;<|q3#7^CmtW!pz8_4dk?kJ{L1CZdRG)4C~Vu^%p5^`R{gT#8+`G-`o7b^oW~ToU*@V zv=i)!j(92cPwc}^M#%ipH||A+-xKEiMM(v)*+50cO4=7{CTz|aIdWRzwA4cE} zu4ij2>X%PoDf=hucdl2QRfD}6B4-B2j>3I0lq?b+U$$S{2)7o0+5%^$Prf+EdR*?V z3C27?*Xb++1uW9tC8@_Dxm~SwSOyQ$%l%x!g(d{i2GRVRFD?gq#%21^-J1wqC)_vv zXy{#TEdWP)4 z`w!M(>4hp46UC!7T|j&z_kQgBwDuIxWB?;jJh?pp7D1()deleaznuKS1p$}d4Cc)T z=pOlUs|&91&9Nr>(g^!&enlUY5$vDw@|48CNvIeR$BVd z%~&x+s`ZAkio%kB(}&JF-D5>9v4XKnIxA63F$wx6(SaVH=YY*#8rAt>seUU=9OE|t zOMR#IaStJc((CsPL=NQ3E5PE5#}FWpGWD-S0;xaLVo&2oEnEb-=a44~sNNuB7kuZp z?s4{wLezC|v7h10#~^q}LAijn=hJ)rK=DaAAv~)mi;#W)#IH7kGmZxh+jZZejE?Dl z;kA3XKSYfeYZ{f=m=6`|GR+qwlf-2cQBE}iC5?U1QGb2-9D@36zyUkEE>V{%oc`mk z0Y;-k{k{2B{y$*nO;OF@#LD2GDuyAt?-)Is_ z6~yI%%oXy%L8NDw-FBv6s zs9@=%)Q^+&#pT$G-BhU^hRz?WuIoImM2rWcdWuH_Y@(A0{Xz#bSwyx^v~`LG=D)|ik$+8_B%>9Fthk~VKwRD^PXea_r(>yOK%E5TBfBH5@wZE{wMU@>#?gn*% z_Ck(ovy(3WexrfMzx`bUS(RLZ0#9G(ABN>TNx;R<%)_2xYQADQE^X-ayfP@>3_{<5 z;y{|McG*Ek+5sA~%2_{P)2P4yCD(4?*|B#hX+B5l{<`wN;f7W?1e=*`lgG-9I=Ai* z?)ZL9ITG#7ZjQmBH=Qo8krQ>=SUPaY`5#7D{Eef!*I_vER%dE8@XFEUPhwuL!-5GR zMynQA56)iVZRV|MD;~y6v^R>y%<{)V4L!EZ`cxKE^a?bkM7$AfO6XiiJJx z$sAhACA38DXr+m}bdqTDMfJxq}4TYWqg7 zgI;V-!&HZODL`9K*i%XQyJ06@m4u$5>qjg76Vi6;=XiXW+vIYLWyuk%iE=E=lq+9p zdR^ga31C%n_#ZN5oS`Ky%_5@Bb=p#>HNwi`oWWGi!8COcW!~OixVmrEytn5=BF#~1 zloae1j1zy50Y&TnKt&V7PfbUL$O^5J@H;IcL_jBW@f#bLo_%q*Y74Ga)0sDo8-4pW zDUDMP)s6n%`0M;#CWfxt5tsDXst1wnzf?WErKJ%qA^X5m6E=5^?13gUab*BBTE_xHw={ z9961{Q?AOXu?TYC(RCYlL$WA7fjZ^M$jd}S&wu&n26tU=+;E2)j*#;6AFZM=+i%na z06el>(z0I~RweN#wj-jF?wJ8ijMRj6yl%Uc5UoufXD|9NJI0^Gh+^wkGx!3n3Qf0! zqs}|8RcLhyLMf<2baBL_dP?Wg3{h1oJ?m+HBk4`fBZyNCK8lrF8p%sDv$`Jw;Z;sx zd7?V|WiaY8*X$@&iF*ogZbAhN9WxpEz> z4km&ln97Irq83-P)l0=!VZuwdibezL93`qPoskc8z2NL8xMH7TpP;+0x$dnZZL4WZ z?dpdKM;_4Q02zc`*XuVyou+>E3?yCDKmz?;2a4sY0j>HMd%tZl)vE(zyg$-^fFK~z z!c5@cQ><9}k^!-{7ph(!U7HruERnL2*SL;^9a~gsXM>&?eOMx7UnI&W?3(x3!ddxU z!|7C%^Rg}EC2Gr>zefEw)df@;748k9W9c){nnG$G$b|*SMta8I%0>)iZ&ND+DcxTz zUIunc5;A_*h7ce8W2w?;8zTw?Y#^fqFg8e-r(P<9@hf3zaDG!~)Re*cgN5De7<^64 z5il?~q7zDS@UHP7DE>*g$5rD)XK66$xW%hT_(OJSL-`%rUaKuNARuseiwK)~`!(|9 zcfN>kAUxXg@7{)=-uOn`2&IcymBZ2omGIRH!YdyG+VdVU8XRl>oAX{_fcWxys>JsK ztB?4Ph0@uSu#-w578*H~g3JxkBa)3mh4-Z zi>w%nL+<_3YCXE>+&-pcAjUw;2Gqz_NcL&8C^G8kIgI>fxp|Qn{Z-0u7J2P-<@-vm z=I;<==aH5*IPGSkUgJNXGGDJ@prl;Wt&Op+c$Vq&=+@@FW>>9Y5I6-dMG}Tr%Vkla z3TTel`-O~D*9;Zo-+1nu{N!oruDWS`g!K#mailOEPdn33cn9;2rox_yb zWV|m*H+M7G>s^S~&depO5-(_+%wNqSDcGnb0?nIkwSId9sM41gy*CT8uOK6}I#6=pN#(43s zUVUq!>l*-Oq++mUC!W^dUS1O>0vc@ zUXSy;_ugi&p)&VjxL!>#u3M&+T|eur- z#WFx~tLA2K@vN{tqLC;*PnkjR@b2N^@$NC+O(6TWocb{_zrV$r(N?j7T-b{v{$6N_ z-V(;y+0z@e%k4{)8_y>#Fr#P+j~~T+bpw4R5HeMNP!}hJ=%wVWChdP&x;b#tCngLj zZR!i#{uE}U=?MZQ?{N3`1oKcb5#AmFd;lFNGpa`6Dru|P;MZQYfH%tM z;Q>xRHgW|=vqj9i<4sqz+v2iA{5ytG;aE%$xt#DZE_dAEtKZ#6jOrGTq8O7Mm&D|nmH-N#aFZI}AnnAm>VgNR^`wEyYHk4JkUpL4D)7+{=p zHy+G%Rbzva09we?-sdnLLFkz8#hy2GXIl9Dyc`W~!1Ne1M3`0V8#_-3VPzD_BpRO{ z;gu6O;2C)-MkK0#0=?@m3j^wnLb|^|QzW8L=$F%-*1wrgV>ZS6&C-aV4o>v|o*o1n zHMW)o8u|B8O~AR$m~7{e^DG{q3B1cqBjlj3ENPP=WU^`4S8^^BkvZ?#nY4WQ7te69~Z?RFJHe{xL(x znMYAh3J@NY2F&%A$n#yzIlF?@J-UqF*|z;0WJ4Y)lPqeSKF(0$*>JgZ258&_kNU;H z`(Ln#KB=G*j0D)8P0mRJkS=s8)1DUYbCS7Hb^ZXn!>tM6`qpg%`|jYBiS*$-lu9%EniKN3e9X zIfaQmB5YNkayX|+2`7=~{TY+>;u5}2ha!Sm@%QEE*XWsLQA*Go_g_PjZYCP+)8 zf%T@teA}e$A}sC50Vc?T3#`*S=yug6yS@V!|BXCNf>`Y`zoD>wJ`lv6KU3`^v;BP$ zk=tH+5vgVeMl1YHGh+l6Jok~C)_CduCrlf#mC>EQyjlH^xkYF(wNddR63sEpix0FA z-Jy;oOlG!`{uf_}jIHaj`6mnDVB4y;r0<7!=gmDN%7xp8cWWwR5+*x%4uM0%gOTJVn)GOQbqqM4u6T z>O`1sCoh(xlFsK$s}ca>ZB3V>NSGFkU>s$mP{Nwbc-e}^1W}$JMOEz)vZ^cXy060an^9hc7 zy-v87E;xk?bQAC zK|MK$2-KLupM6u!5o>sVg+&^YzoFJ-;k_+1h5gqkJND02pRDjh^GU83Ff(;b_f6># z7gUzA^G+Z!%6zHhq!Dttf@}vlmW-KjjL?*po70pw$&DJR-(U2doI9brHaKc?S@VJ? zFb$NePdo0h_lf&X>+K|;slPt4Y&WnBQj}Fn;)NC5iX^8zY$qcslP-yLw}4oWycnoRQob*tkgMNQvU;Y(eoW zbJkZ{Ful`^zIHGg4hA}ZGWGFfP5Rsm7mjfk-1)s(Ba`FUjDQkLU>#CBm6^7Mna+Qv zCs|I)q|)`1{;^KCuC~=0GtLTDTY$^C+Aeuc;LRNkO5amhPV$R#uxcZAN{tn40S*z} zUyr!_rWv-5y_9srwdUq`R^Lm(YkhQa4)Ncnx&?ps8YI^UvqopU$vybZ2~q!VSgE7X z#RVcQ#y0Yi7>##+0B7U~h&;eh)HlA* z+6^Z2TTLE(j)oXtH2T4JDRF5RmlTP48(^Bf8h&LBS!dPGR8os@ck0A~V-AI(gYc!S)n~Fj+^+B=Txit<$rDeE6un{udsEX39IH7KRtkwzB335*!Kf=zBj@DDO+T%&?xM-L(?a#?w z7Q;zHif*}Q?OU0@>~SP)al>b@o~Z(EM|?wN5Y!LX#sIG|{6zXbFCrxprAxgmlS7OF zsb;-UGY+nf7P22$JMeC{R_tYznj>p5U9vPi0%&hKV0I7IZVmEi#$0M{bS`K z3mI06IVesrCQF1?=X02@6aJ}~=tYU@vI@^=rg>gRw@Ii$CDf%cHU=C1j)G0Ix-|K$ z)GlE%jSS3XEgJE%n z8Vc9K_*T%Ysry5)6Q{i0m}yYD9n$ye^DL$X(2-aDNA1bO*Hw|~tFCl@P1<2EhNoil zhm%giYvvNNhOC^Y0^-lbX9&<)dH~&l( z2Xx2NNyEz@g~zF_y;D^z2BPNDL`oOh?V9&WuElm@F$bbLDW)|*S6+m%#Phsx#mj~) zW~uGyXU~D?i()sl*vh|pKb^6O*&@H*G-94;`FoTZp1yIJ(Xx|ER^ZS*r;f4$QdXU@ zCamx&wkjO+indZ0s)c^k;^!V?mTX!jfT}l6&KzA1RSjkeZ^nEX>lUWxA|W#qKl=@_ z*VLbfXuME`dju1*${S+D;+r0Zmk8Tc*)+F4#mC)ip{+OM?1t^j_PHpO&!?pn z>dc|lBeEB^#r#S7YONQym}oqPy|Ijx12vzpj8+^3(+HDisI9$@Y*{Laxj?QDa3qw+ zf%dSaB5n6R+TxSo6SJ1VNr$+o1dXK+(wyx~chF3<2xvFqQri5BZoFei5sjzZ62j#u z)+co)Qy%Wct9#Q%9;rKXl0EGQ0**ZGm+ct%ss3bY_douU5f>PqyZFz4WS0%@zd{|jN{SJqg9q%Q`a^#jVXG3RHRDZZU^G|1$+~z~kANN9-S%uT`&QSTu zOm*%Vn|n20ssufp=wD&!3y8ML($riNqR!_DH!xjS=w7GvGls`u$BHuLB-?$MbIGYc z=;LMfXlyxnqLS?)%rpS$sQ8+{XW!i+i#b;ikT`})8uc~os$5eoda++$TfSbs5;Fs* zd{s{-8}LS_{52vcn}-PC`Tdh;o2RC!sv0(B*)@|~bp%n*ovD)S?+`p`Htj!gKktQ~ z{3a%qH9v7KpGRPbRVc<&zwr!_BKoGrk17mNccu1IddRb8nRf!h-5BUU$rYfKdip6f z5ef;qTaNu-yiaD#M_<1OzrOg{&WNlI0iQYBPJ5n-=>o!IWMpmi3naKfJ%1PyAH4g#`@LoESA*DH zFN@cs{;lY@ngxobIY|rr0z83_tB(<6f*NUoFioXlu=%jV&=whoz;qtb)A_-N$H4Vs zgJE#t?SIPKPzy7K{1d}y{5XmMd&wy!|WhLuxl5WQhdQ z>6IUEMMUg5SU`~xun3;;ZyqQc3_A@$f$x7PO)@H^I*Kg6j$xl};FyTra=JEYZ3*@3 z78dj>uWP--cdwlk3qT{1{ZzgA(Db+RRj_DWfD+*Mi^``gu)3&Bp)YT@tq9F%blNL> zV0K;DD*$9FM~CXa#KRzI5+HHZX$#3aq)+K_=ZGxH_*j}_;eC!83{ks2dph?a-FF2E zQ|GUn%mid+MNWov(A{auxGB zOOVPT_U1!My3L?{+}}if)1`7LTlv%7t3BQZ<$>H4_wden3ir3c`@=1PO#b`?MHopX zvUq8~&_*+#Up#)q-JJyrzJtELfrPoTcPAHNzRM!}{JE&-sd2DU%CgR?hv_G&*Sr)* z!3JXP#0IOiscx6OFy3?KWr^=`tXC!sacVQlMgpk35lb$!AGwHj&VIW4(ex~bQS4_i z?0{D6lV#!vp;f1ai)=!T6vP@ODZ^n5yKrUW7)dDGRwBPR`FvEi?1T?5yPq0UC@Te$ z2c{ybvn`GkHNV^$Gm--t#x{g=-QI#9rEJ1j1k*(``5^st2a)hrw6>ma_3tU$rT<@o zjA@#-n()aPBW8m#Tucyk7Ok4k9s?*yuAmv*o5)1z1D=NvOcE@s zBYO#ir4UdAO6i@pLIUyN%CY2Lh)D0mTAM^zt&_olIy{EMp0Oz%9t)l=G(*x)W_1Ii z&$)yaTLBPLr?{f=6wI52T;reZ4(4!&Y2{9e-2{=4xGL?0973HYf))IxIN(zGnC-4N zWf08YV*NvKBf;^H)&G72I&N2G(8rOV4oLUpiBNM*zOgZo$5+^~4lyH#H`AX#qVmlN zSL3j`+A|^-*)F30>%z^&%O{{R`9`)80&fsVlg`g|TsUSpHh7owQFGcv+sD5o|9Dd>W?AVjF=&MMsU^JGtch)q z+s60=0~D2U{S+~(?AUp@(ii^9qq7w2gXc{x*eOq<%Rl#OA4N48y$-f)RqB?w4$sxc z9M0QN9&R;gir7p4UYIIO0pER~t11T5!rgK@P{CYqOM>zmh^XiH+;~lfHPCrpGH5mLSo} zhBBR}x5W7jO1GZNf{95bDa|BNvP>zW}UTCT0?as&qp2Qca5JD%IgpN-$=12JV`1@2Q2jx zr^h%EwtYcL!+4*)AEl&=GN6_GYq8PDgi7T*8-YuFab$W_!VaOiZ~s@r?I=gf*XL{r zI}uESF7v=zxnJ(@8GCs&c5F@_q!Hy(R~5TKlxer>o4|^c9Mi;Adti3H->%-Ep{=3w=1@9&#_(+Rkyn&ONIVc2*69SCu4Yf@ z;v0R!sw5^QOK0Lt|8&jh2sm~dwXa2&8Eo)CiW{DP!a~&=qVt%D@BfBnFmjx} zKv9=%wlA-rcU0%mVDV)AQIdGaBWGF~ZmUm?KjN%F2%FrF<>4 z7bw1|rMpwFJK<1dUYA%iF~FiVM(WRwIDvhV?X#ZIs9n{|h9 zt8MMB1!O;6grNJ{z%FrZ81g%VHXz>{@ogO*&-b2KSvf`(yxt4S)PyH0_y?w<$X%iU zW&xG-Zg#(s(a#7vdXa=Op>#?m*1Q#RsXE%TD*T;I<;M8pvaO!BEoERZ>C~#wY}sU2GGy!X72L@X(UXjfXyXD%xHpxbA_ga;1}xt2a67+ARJyKjfh7Vk`n#q zTz)KhG@YpRa1hJZfpkZz4Tg6&>>pMEkHw#Qb^$m~FqIJ!fzBp94vevWoi8LmF(DA) zo>1Vs5PtoSlE@&Py?kKjmE89h#N%Lq9UMrv@!E&gM}A5e{H1T$)&sL|VdVdI_7bU> z_WDB~&GxsAnJ|1F;N_yRy6FAbN-~^W6bae{qsdd&8-A(!O$d z1TC>zQQg5rNKBab%Im1$kg%&`&(%JTZZQ>HnF~WSVy(Ibjlc?#2!=fxi<+%&v@zTt zV{SiGr-)xmKNlg`TP@TyY?xV_6xVnaMyltTEithZiZdKBzMcpxMj6BXV730(+?D+e zU0N(%8z-SlOTYt;I)_tF7|&Ce8EUe}poWdB^m7CPSX9iH;+w>sT7H?4{W2?Orb{$} z|5a>52o+H1no#-`Qk(Q{VOU;Cq@UIxt$0&R7?S1{8nQ$7j7bVwM5mGKvmB+RP0e** zK=1|~mT-msb`N<(v53Or^&DVj;3%2zck5($Ct`(tCRzp9t>kV5@D&mYct;`$dua~p zuA{SIi}tG}IYbl2EY zS2uz#C%eV2qzCRc_n>pv58=f2_~Y}{koE1`-!@oSg|=Ws4mY^}qVXls`(ww-Yy%%; zqt=iZj_=iP$MDOsH(>N7?rF+SQK#|x_#1_Brn`Xb-wze^n=gL`-7fbVR;s3zWjQ@| zRk=o4r8-kB!xyqHk~Xv-M5mXeLj6->T@NE*y!TfLp$^a-$ry6Ys#46ZizseF-iUtl z*BMrO=Ww55b7BLk7*b58a!of~b3UXZ{c@8J6_i@-Fg>&a$Q~>a94T}glJso#H!zMP z58ePX3P||2w_+>rA?VJBour`H+ps957h`GNE|rn2E?t^;-}8jHm*vO#OIqlYW5(8w z!Pnb!Pog-12zpi?lRY^xe71zGZ08Yz7Xono zrAdCC{;|VQfM)yCn<&doN=O;<^x1hL*e!zhYi8Rf`k&3V0ke=0J>2zW&2*9FDb~tO z5Qk{c3H9AEhVeb|Y6d=OQ}l$(^YZo{z!m0-e?6MW%wM_x8XV}@_N$gwdf0BBZ=9_c zg11fhpui}}$*mlG^5x*U>dl>17ZS)PP~Ey&HrYlNkFk(QkL$Iin@Gq%DJhmo$w$eV z#}I2!U5%5IOUB?UK5@X<++M$CGTXA459I}UmZ!8PxeQsn)BJqzyJ%${FOUF_%=D!w z4$S&TxJjdR>HpoI*!jA$jc;<|JmqR<_1@--v?fu%{Z9dm2Rwr<==%z{yG`(lw-hqMZw@dhyM|IJ|<_m)8bQ zx7Og`Y?C_0F2gQfSfE~YSK#nb+r`Y@jIE??I)kgJrcNelmlva=$22M5xBh3nui8GE zFJC4+z9*QiSo~LfwuJMt?h&AX_Z794*ln^(>SU04E&IlWBdyUa+TFQODHJ(gPMk~I zzeT;koGKO1Rb2wN(m-PH{*oFY?ad(qu=5B#-Pj=U(=j*0`68aRQWvG6FC?j+&mC7I z@;hLRja1s^einz`GQi+BAbZYUaZ<6tAYP)mW4EbG{Mat8E%(>QN5ZXn{T(;NhGpeO8(kyGZH}zM zRfa;N-_Z_kiHCMB-pb3i{A>PAxe*O%{b7`%EfOrHDIM%{npedqtXU=yhQxceAMgDj zHH}*H$W}yVOeEG=Nfb~%S0nZvC_Ef1(NEORo@PhHpt)~KtpPhM6#BIh$`ylf8#%!_ z45bAdla0+|1F@az+not^;dcHqBG>4mFFa;4TR zQKslc+n<$gi^I7Q!w-%i0r2Q}3uJMF*uvhaQl{9|^thGD(z>RQ`81(eD<`L-V=Y}j z;5%8mX-HCa33|0w7%54Ss!m{94q}4E3xc#n#%w25{@LBl;~Hu9f35{1aD`ciiY?{; z+_A4K!#6mXrRcy%N$1z%iN^#lf-{HE_Ri7A+Q#gERH2fh0SD@0H9nC2tOZvd3bMiR zf2Ev7^+{`smj~BrniG!Vc|Gy%mEN0EoB)k~4&$HQf&O-SZSJ84Diz*P{?oT6B2Ezd z$C@5qVx>wtD*45?4Gf*%ugA&k4G$}*M7#RG09Xt<>5IgttFq zBzYHOumSm1%h1#rQ)OCymIkDyYS*H1-ERK}b~wq|Q*bLKTOq8?y{vw;V%Crht^BUg zQekk10_-JD$eZIh-EWLvXZ{f#e#zNF)K*%5Zz1{HoMrOg@tzbYiJ#xd+!+LHq>KLo zH^?m{-ViU#3sQRV0-bNMt>7w^C5;DgU-xgvQHYQz3rUQ6v)gGOI>omh=V#?q8tu&f z@*hH5!Jy@pN5K7cHMzWUF@@FAh$1iF-=QPruX<*>k5&q6lE>xN+@dC%pG@*AD;^B> z23TpsUsX?#FAsQJrz^z1gwuf2}#!U~O&6nYF*&E1%HUKBrCHqq3pxQmj2S z<-ndpAJpUF_4;31y}L7MDN0{F>$0PxfC*bnI}*XHwld@ z(|PZm{@8E@8oO%vn-zXFL1HfL+(S%lGrh4)(NdFO5eTsHq&2B!|`cAYpa?kHbklG2yNuJKwN}tbJUi_8(1|Dw0>&U@#mIlzp0#gBjWW ztd-0z=r1&qB3V=zLKT`yA;Aiv;aW->M0B}j91muk_~}huq5!QTb~dn8NHq58MLwbTZn3N?;>?pE`>Oij?iZQFLoM#sj<`~S`v<2z@Z_p;VnbyF8r zHTV9_xj%GKV#gU${8H!N@!O{xu1;|e{-6Vl_@2q#;&tsC0NvP-jqK^bUDmVHHQPGR z`HE5@0j<=&ew4BDUaD;P6oxH?WcE#tjDxaQ`kc=8Ao7T$1hHM|l`w#D{UBJ6V}dUD zrzOiIwez0OkOT4Iy`fZ7hkcQv7Fl?yP(#GR`XYM6w!6QS^M*0@=emXMTj}7b$*~{$ z2XR-zy+*=#oMdj3rX>onWxAnI!&zS#mD$u@FZE6~SQS56AEsCyRa9l_$YRh7co}e) zgLHgQ_P`M0T669k1IqqaEuRaoaQ#gtP76pl?S=N-mYLuq?-e4M*rKW&BpjT7%EOXP z%{V-dz;hH}@IKnpOcm$laTWR>q8>F^&yX_wd-pn~0-K#qR1R3gZJrC>l+V2dV-1lV zF#;iePlnLBhPzXu1*DyYJ^K+$27nytp{!tLACvBp`xF1-b+qlq#t#>F!xgxLNFKfmezy;U3@qTULfdMVv79xA^-Hr!DW&Yf>9NxAPA3hH92X=UGo% z_c7uQLE6@Z-9+Hvhe_o+`WlR;H6o_MB`#?fbm))(6(b~At!Dq~3Z%_Jq(7128|43VGGiS_y85?VDm@#0sa+`}YdHcKDJOt@ietsYNwoWAL+`B0!4&b5 zLsW_=W8#1I5_ErH$f(EInb2~FM#$7r@iO6PXl0$}{rx1AkOipBSS&l1f^%qPnwPq z*5b_k(vWy<+t1#3qFFs{Vbcs2My&2&5UKQLUw+w1{3{;6gy0Za3(o5NFT%BFNNETx zIIIZqX&8Rb4MH;5C{Az5ViNp6W;eg8bl}_BY@Rn{H=ppEt zt zaDd_nD+Nt#h(d~VB367n_jIsr2&=kEd!v9Dd9wP*@+2zzxl&R)mmtw{v-g5mA zu%sT^wi&q|gTZRnAp`@?3UT#`xpyw1=#of{?)ZonkjrylzSSVN;7W}7-iUmB`~5q_ ztZqXJe<>_Ij?HVnV$_@_SE<#NKR8%CGB|7CrvAeg{MyV(^20nuGO*YFxcJ#==tUNl z;d0(8f3Mj7Y{bXt`}L68i&G`?tn)B~RZX-jtSfuTOmTvpNZAGCYn3E{;ZgYCDm3c< zUxmg~{x20O`@d9Z?V;UCImubTJ??AqHv7Wh3dTDerd>!GZG!P=hV|~Rq9>`4pv<&W zHKlr26hAFL!Ygxh2YQ{>cwK8#;4aEe%;|$E@pJH=zgm~@Db(SUt+SZDSN8`N{?9IF zO3gCjD1dxUis$gntoUy#Gi2`nqC<1mb3%2~R(Gujo+5EJnY&0a2$iqmrJ}eHSw0iC zzz;s1e0~p5M^Pl$8|BCNZ~nZ6$J$=bV@ct&rn@eQ3 z%{63Pa}{E0y(4|5jaW$m;Yk=`@AO3)k#F>AVN0IoZ3U|Xw`;ht5wRN162l#d`VfQb z(1PN;ptoPsb6{y)BnfRuSj28BCkV==_b}w%v20T;b-nm_*6FRD4D;W0ktp@IxaS-* zoWNgFM(6;1H_}eF;Dtl;B;)ft5$=EM8R|^<&ihi^JX+&|zh1O}hS+QSIA<)!e=43Y zh^Vhyl1E?zLa9b&z+OnwAq$g;&*3MA0Zyq=6dG?aLpcPI3{*PQgBb^~ag!MMlIpZe zQXJ3h*`W)~vKbUOvKe5GtLnVj&8trF@PdER_DYyrvkY}Z?WAmbDouao*Eh$L3;G%U zLW30IZg@%p_#b}&k7)uvYb!YakGlaIJN@#%N(a-HW~Z0NRA7p42rEEN=R!Ht}yrnY=gD~ZXdDQ0A*dr&(|oM5T7)OKXwLf=F!zJc13 z_0xW+aIkX}l(%L;aEq}Xb%b`JYTxz`b^c)IWH~9*w~qsIct#f;9d9G6XuJ{uy(xwJ zK(Ho*%ntZxF?*6;P%Yf+eJ!AxeUWr$k<#=kKZ8F|A-DAK`5O#KFDN(fhgT>&8@~a{)uSf)1mpPH)WEok^YyYp^Gk22VqPFr~%jFTEvn*CQp7Pws$0MoGtAx6z|BiKLtn9 z&_5%xyD?RFt+5*_0x7ra06J!m_mxFOhVd%$_%K3bz^3U5fS*N`5`=4iCe?3eo*?LC z;~wBa0EPKE@+(-bVu2&~`xO|4L8+JJ?FXEy6AX4C&{l zA42db7hxwqFM~bDQ4oBnkZDx`9mgr(Iq3URdzHYrqmF}<3!U^j$jYl$p3YXg@IFh5 zp4m)a_7ozs(VnE?E$2h76`(ebkzOMCORFl&Eq5hbzNMJRtC5$l+5sR6hGjtcc>QxQ zf__-uP@$?QrNsye+eE7&zC-;0bR8{FEE7y<5a4*Z;b}XArt-zbS!2sD!ml>Q9xt9G z!ztz=`1-!tYW}eNXQ*q)TxF0Edd7;K3H<)nlFDk+(!*F z)<|cMZL6l&t)^ah0-X|67-F@T%mQ}|8UE4Y>WRl}F!ER-U`_1lv;*u*G@<;Qm#y>= zv5wGEn+i`(eUvRvU+GpZ5GT()rv$7qk~{y#_FFTdXf{q_6*xT`vyFSjU(Wj`>prQa z+fl@$pEf3xv(Pr6!2D4iNwH54q;08GWBeK3YP@%0I9ZLI1{7K{a%7PGv(KS4Hf5cx zwv4K3$}KKltVUZUR)nT*wS_)f6ftf_JZ4R6Yo-lTC#_l@nAsw?dK3ehJRy+qGc6LKVb4zQ0B*l$2il2FVUgLelJ9=_%PR4UA=y z+Tx4zU9=T)=s=5bQ1y5@eIps}pZAOXDf&ekW=pn-n_B7-u(8b|+~MCvcZlRoyrO#R zW*%E5w4O+i#@TKmd>k9OINa>#=@>PglJ?Ehe&CGujQWn=FhsEvn38DAOlC5Ua9HyE~{M3$(#aeQp zZvZEklXcEr&G)k0pzqVRd>6`otOZ0@a*n8_J!cVTqbLZI=l0V&g?6~!uM$W81Hv%& z3Rj4W8RAt5vCYTY;8hr=AP{R@&(1t3LxRU*6Xerecg2I!PUFn6fgbGDjDt(zponK` z19X(=q^?J>hcixC!iSuhdsTLpGT9Xp7oRHH?UPEM>r*LToaXH<@Dla?1QBw~5zpp+SIGxA zzvdvQ>t<0a)X30idp5CUlYV%*EOSkt04XbmJ*HO)m8IL&EL=@|TZ*qkpSKpE-T6;{O%&lvZ{LW@Pb(`8gs_t zS*z%p@~Jj48hwr{mW|Q==XS%PAds{ATJ@hoOFq7vikTeu33*Tb^+G+i$(LrFRXdIKGIZ?ioOzPT{#GUCCH%%&p8N{BH{-W`x;HaPEnh%ufvzFzqJbS|S_QCRupXg2 zffP2$4eY0lNBF1aA7-8|$pI57dLU8PT`{#I=?0#100*$4JNKfuj%3%tj83|FtMM*f zu(4r<45V67O@joghi>M_LCw)y1Lq_2?fy!Ibvtgw`FA8AZe{!dzaABikSw3No_{Q> z4e@VV%cL_mt%c<5gWW*A>@M!}`F>0%FwNw6w1^?xrX=EGS{&oXJ>1I9H_g#?#4BC2 z6$N0Y6CW^4c!tFcAx(~%-I=kG2SM^XhO28-##|PP+kNdgSjbtG(H9h zcZD_&_!QMsU)>2Y(=;Mw7$nb=8XKaA28~z#MjJimv*J*{)(hF#HtFU zG!U*}n51B+4_bDhi#H%~biCT&*w09Wg}J$Zc0_^7Y&&x!XpD2%5YWq$uBhB|*=YIy zdOC{@Yhb){Lonbc65CVQXxQe(cbt#|sxb3SwlRb# zy#pUL#&)=P2-iq>9wldAD%&@BnaFw8!wJ?ZQsJK5Kv00)9$cP4Wv>d6jaZU?hhO%g z2G(a1rd8Wa=AHl@F_;eJ30B<$71Hj9s{JuCws#UngrGI1&ug-M1jhJFzeg~Spt-(# zAu^KmsU@k0^FPKpJDR*99i|hLHxGwvQ^1?hyE~tFBLv9{8Yg(toYL!EZkSnx<_@8y zyzF3gB1{xRgkC*y&z*396EQhaP)!WOrZEFpdE4Y=xLowxFM6ljMG(mIG93{DJ(<#i zmiAAF5FP~e;YUR(L3XQpT*E*j(^k+K(!4d8%h9>Msu*IyW2XB*{=69tnWx# zP>pZ`Ff6bjIG_DKD1_3ZuRcxa|L(VnFg~jjxO$vXP_35!Xfz>ar0SVU4}FNTREYW5 zr+r=~?)y*jr~x)ZqH4Ck4M)FSYTR7!@d?ZgR3{k~6)AJH zjuJJJPT_Mmw+!pdJCvgvF|*9=#2H%Qvr>YctLwEZMMg-QM8x1g(ZU$X1lf-_LAe}s zf6Y^}dM(RFE{Ul$6o#z31IgJhz|;5;DDQ@wFg5t)&2ADY(yn}X4gs(XNAM)f+31@6 z`=^E1s!f;q_+lYbCcpDi1ZvYhZoIRYAk?Xs7CjGSC5MpOM+eim1K7-qG~-821C)On za-Jw8hu1xfiOEG)v4qm3Iq6waSBZd6CCco`Q>v(Y&nu@5$+Bh(0U@atPRD{BJzf^0 z@rjo2Enx&C80{-dax|*alqXiHUkZ(<7oc@uv@5UE$tzw}$QB~>MJE=cs;H03#jUlC zv%)g%s9F9dAc*f-DZ7qhZ4?c%g(FC)U63cg7-vY);E752u`DnwIG0@m!IO$6`%a*S zTg)T0e_x=0ueVkN+;cDiXyIc8u!4o8 zp-WBHnn512T*2a`2s!biIRAGxME4EYCJNfx4W*F9@$uK|-)Q|WS`Hi1w9M;yr^{Zn zUrV8PE76mp@n~CH5y)z{arWD13Pv@M~Xqr5|^%pszv5?2`P? zP4gBCy{fNX|J>O+h<0nBPXBQRv8ti?;2_Ew1wvSJ5^Hmncu4cW%mT3Upy%qt;dF?k zpCd0#Z-x>xTUu~NCMtggCdda2k|>DjI@Hr3yye51!+7M`rDHlHo?PW0^EzhaXJ)4bNcG`Ez~QEOuNW~!vV5-$zL+|o5HMa+xkbS{}|JlL+@R_fq4k?ah$n4)NAc=&Q`;+3_v3h%8UtNxI) ztGMe_AQnBMnf0lrt;mFHi{@3RMO3XPSUag!^$wq^sOu;*#7LVnU*^<)7jG&{l_irW zS84k#Sz`}UdCFl2)lneP6=Ifp3L+q2H#S+lj#3uqXoobrh7ZzpoF#FAfBIDQgzH*8 z|B&gbNql3RV9t^lMyecB86~I=-#?QbDr}flu(uNp8mo$x)e%k&X`hM)zbz^Ws_Jkf zA)&3JxM=&slqLOWo6p!uC6h6dt9b?Hm4@o=<40Vgn#j_N8b;|?qmBt}pb&+Mn|=_^ zOwqp!o7ESK<5pAIo<9)zqUlG>|Q>+ zq&wc+oLp?xU4Ib433_jxKMB%}e0+{Q0DRQ8u--lvo^F;3=hz~EM^GQ1TU%S7+4cXR z5_nEu@fAbdp8>%7tAAp zc+tKTJxMNh1b-an>_;lg0d-{6t@u3vOvXhb6fc@p0f|_-(?+7By>mNb=$J2xFOn#=AR3$!S9P zP*Tp5l@P2f!8(R&$~IKrBhybY0|P(})w?wiUE!SO$L*qc=8%j_9L~)~3DV(c@;!%| z<^;kwTq5dP>`h69@i;2@wkS~lkY{r5*B!fl^_&(y+7?aQdzezOlHop$n-IjD)6878 zq{8*ccZw7%@F8xb#*55n`OX+zn1u;}qdL=OOAsTkv$T~LYekE;2_LrR&r)DpJ|f!+ zAI`Qk#frveKzb;?(WFp%XW}r@>Ni2=Y6j}~2WwNwh|eL1R@9>h{K>9E)9AmH|67I} zS~-4=HD*!CX4dZ+)c6Zhq-;Ix5KX#?s?J@+iYh6mMv(_FGwojbdnlPvO5Om+*|jt} zT}!`NsyyOo7X#2_5$Hi`MU{|KBh@bE2s(O0sdpyjC{KvEA3t8{y+8IRl_9R&{TRpv zk)WHIV?q_@4r&$YqitPr_30wm@8SEktNq)=!;fMff9@XY~|_lqu@eOSLO3r^F_8t=?=rXjdy$_UhS`PfFdIiGBO>=IRg&NinBp9 zBAk|(>{_J9*`f#l@)uQmy{X=pQT$?K*!${hIKrpoA1_U}kIc3^SW@hzo)0?W`Go`c zN2F;nO;;U5a!n8rC-~l$zanb(i0X7RUl}poB1>mY4@}Bn%sJCGYIXvkfJQX1*tELZ964wy%Gs$7At(ziT%kI*Npn$x+0M9W}TSlu| z97{C|?dk2wCkuxQlCp3THS;}fif!H+0jIS7jA;ZF(0XAV*jayg4OFeWwyI=q+vi!; zgg`P1Y?tCDvrbquoQBE*Ru{Qf?4K~r9xAho@(We$fy?goO(Vp=&epieST+913N689 zS@N+^4gcZ5zxhYF=m6yWe$Cw^($lJO$&Gp~dUH6o~w7b$8E0?2XIB8Bs_yJ4CqP0*PFsdpEG62dcuO+l5Y5qJF z0Od=Jzm#HcB59K6n5y-RK&13m>->o_73|NTigp*ZFn#_Qpw&=nuX zO!?1Fd!^k%C%rYX-f#6CHbU`)Fjl~fX?mMnnm>I9;%Dz4H-An42~TukHFCFgHD-+6 z#JXgc1|S)u%Fjtx!i_jXa~c|R_G*8uu=Es@;ewBZbQoI+$|H3roXH6!7qNu|qTrOA zf}w(eVP{#uZbEa!z>k`Wmr^orbJNd^anJ#_!7yoaOZEIjoMCssXMkWi-0Wo5lqVYy zG{d^+kCjfzs|Pzn6+RPTmw_?>LkiB_Z)ZWB1*o+VKxE&k;VEUWcg8mkjD=<%0Nv?5 ztxJw;DtcowNvWvgi9M?cEEx@0l!8Q$Z@y>v5nZrn%D#G8-ZLR}d{#4k=oOQy!M-c6 zOaPx}UM3iXoLD;Dnc^I8k~4eCQU^37^mZaJuDf%!7ZC*g1n$ABB#mKhe0+Ai z^_@S9$7$UMI!P7>o18>(NY()i`9iU{CK`a+7GATo^0Kp?%Iq; ze&=tD`B!i)GJCo|Ik7K>(*|KV!vQ;g%B9l=$@L|hbC|-nz3w1-dy!pkFIq`BdEg-< zxsD*+`0Zy=^%bo`jbyr7lCYFF9}xw0>s=5l3c1itr4!H7=xG%E z&K_rT7p&l=;}c$sQoiNc@8}I6|M+4u26sGnec1(9g!h-lv=Ri)&PE`el~vud}V9qY3Y(TJk=xMF#u3H9qoE~n^Ww_t87tGD{tb8>I4I~%0zUwwtUamop~uA+kok#WDQLo8$+^Udut+4@%~NFA!K}O zk+LDvdy67=ae^4W=#m`$<5OoyaikkdBCeFwYn1sdrygPDh9IFcnk#OUY99wK<$H~& z*RF=?%g^O=_aG^^7SJCDM)E@J<7T_NJp4QZ2DDcgb-hdoX%n`0%BBLHPdAqsJnrNn z7wc^2@~Re_j5ds z6^0ZnR%VjJ+8E882y8&-Pb1=ym4MTP6UR&ncU>NlbR(c7*~!yl`w*iG7L{uUN~4%F zyG#_*3D9EXxj7AFhd2xo&wYm%x;MhVvqJcA4(Sywi1(|=`>lW;+T9zi zC0~dRr<`*>O?h>Qveq-J{iS{ojU;?{aLDoo<3&M{nS{zvD9^pAecPR-}K|CP#U@3)9y)x8lVE zD_o&9=gdCZZ{KusHeHwXGvw2C)i)2vievy>&eTZwUXUp;amGMAU%u z&OC&IzBk(Of|J_X&C9~fwvljElZ_l08roGys>=I!6%skY+KRId-CN5-RC~hKb&A{|Q+!sa<2zv57 z9MZ<|Whfi0QX?nH>+7IgRh=^b@M8@Yce!!t&kPWwF|NQM2o0S9h~*iE#G{~@QX5bVF+ zAfs%8eT$krv*YT{%l2;?Y8oM1-pMmSqlI;F1v{J~hF*B~|D}AftG^()#t4JV(Z|i}Tds3PH`x z9iqnvnf;{P_EwPN!tc@3Z+f{2pvzV7LEp0n1dut#}W8ZP48h zT^zUB6TIdVB%LeNx>o}3lR!WJ`gi?{)BA&niYTTA*ujPIS3frw28$?m`Y!?8_E+6? z!Ah6kSA+BBzg*qiL5(Hfx@|#UA_F;GxdKbS*?-$xTbJ83Z+^_D1_qBz3bDTyERV{K z1*1SMurFitl{P=$0Qax|O+NzjL|kuINfsj?^AF0C-q#g6LgxY_gP`{s_he?91mOqz z_m6A9S5Q7FHs!LRANt$)#i086gt|IKiFk)M zdWR~kd^*1of_E^`N$-o1FU%@zBqQGO(>d+}=8;PzAoR-k4>PW?t};QiJ`Beo>c+D- zaS5cfhX|W40ahuP6 zE0YE)&!lwCDxQ8_!^&dar)0Vs@_3)zkbCJ9E%LEAF&dv*++{IAii9S91W-ZGpM$aO z{f7B0>IF|VV89JHy>~l7X+icVEQp^}-sgXM5kpiA<7LoauSoqu7v9>=;j>S`a%LW$ z+7ROi5c)w<6sE5Wj%Q1RmJeB%e=Gc(L$(ZrP;tJWe+*$i7I2yPkdt`m8rUx*P@M_% zL0t6*E3r8Bs6OhPmz#di%ce|@o6-n?NH1|KWibIPngI2=y?lX>kaYfjvU|lxY24e! zPPaHJC``D0sa>LxJZ0)feY`bSX*5UAfne2Q)V;k4)P3;|Tn(ct{R5iEoeS6g6bQ)2 zB$U-ICKb>r3ckbGx2Cnk*tFgOdBwMeqdODYXam*qJ_4v0w>Yw(95Tz*tg8~^wUkH$ zXYet{l0g5h&zlL!fG!_mRIW_A0mMpI(W%AEQ12Jzqc^ii?Oa)5fK49 zgF%Oy9yOdV$@+^-_W^bl8GYE+K9z(A-@G9w#QJsvSr?i)ndBvsgajJE7wF+y0w_fL ztooR{@EV_(U}z&Bb>2aw1Y?bMKWIfC(CM06RXZt9bXR3cXp!J|?Ey&E(@+SBC#@d# zu$0KYN}b2P(ftK6B?n%BfIzU({l3NMRL=@N+&U4zJ7aw68nT!Be7`Fdms6ZKN(soj zR_j){a-DnPDPb=|+(tzy7hb@?>L_ms)kcZ8@GYxB7Vj>51k-f)+YLg*CN&)z(EeO^ zS-}_Wyae%8()feTY?xP;PzXRPS>Nh=n zbNt)`vlX9s?-8=+8~87|YHksY>G8-rQ9WnqwD>j(RS7P^y_rvx##&tR7Cy~8da%#4 zqSF14dHGX&;dP-jAJs3rL7A1C?G1t-zzEMhPCzy(FsGoiZT zq#Q;;83!%DLz3$$gR(f^}`PJ3d@w0eexyrT@2-ie9WoMd=dM&6C`rl?k*u83| zj`^0fpe^kERuO5<$cq}a0QU%?X{y$gxV@>?w|GinY@x35Vl%Sc=Way zbQ^rCZFWzqxVlOe7G+LIK=4WRxAV~Pl{s?iLHns^HR~kskewHs8HNSJO2f1t3BUAg z(+_+oQ)aG_Vq{9WK}66dSh@IU_c9@ zqfHv+VIl7WsYCc>K0tG`j4XJ+U7p@kZ&Wo+n`)-{76Y}!ZA=fgZ5YL1RZ_rr+@q`>XDB`!>ThGd^AU~Vljs@0rwF^%2i_3$^1pe-q% zQ3-3E53nApKj#R|gm&8XzGE=IJ> zJ7U05=?Cu=oQNVXvBN4{DMIIz+T~t_jI&9bW!2wss1_$Kn5g-i%;IqQKUwW;U?j`E z71mqx^X!GbyFTi2;DbA{QM7;&Xl_{#asKp1_1a(Z-&>zo$w+^Q!-Teqk>v|&rGl^ZZR5trD1|P@ zjk5yb&|ku*)4~kCVyp{7;-m425*gz`vJkeSCAWr1EQFxbT^g28*s8gvO@r_os~4!9 zO$tw+Xm0p}2K1`5L=;b}m+nJfIzVGKN=9QooU6VSXg!3&os#&R6gp5{-4Hpo$xz(1 z%bzSYM58h`&*ex$a8yWsNHm+@mMKwD`+)cQ=DI)gdKPGyxts3o$bB&yrHp^BNU7#+ zl?c52HTdHI5LyG~F{kPBpcr?YYGfGG;D=;Tt{Qek0;_;5={?X9BKrpO1j1V0upD&q z0DMl@<1qY8>CSRRRDjpfX9#XS4FFE5zYG)ctFyJ$?PQ!?oF5UMlmzqx8K-0=v5Z}3 z!vh><|5f8{K4A|=edEND^~6ydZP%7R@9y1$qbUE_NSn4Q0E$#=83ARp$b;_(gg`bR zy#uHRTn?dPy0Z2wd$)i+pCG=+S<6tH6j-^iKYGfOuhuai&d=aA8djf?;K&R7nQL!TCYj4(!{vjIU&n{sjWuYl z3W7BTUV)|p+Zv5WE~Z0-7TttDXAcF`>@%cKFcZx|8I&qzBwjwS?fKa|eI(D6ru2_U zg?yLT$kcgy6qkVBeQ-2^P1yH$F}g@ykXL${dFLcPU7oMAruy8aVFBTQo0^Wea$P;ad{7XSQt1AmsdD@kD{A&x_=9 zf_`Z}ja1lYhW3vGeF7)R%$xi(gv<~1()sf6BUAt=rX9p09I99SliqgnKkr93zA6vu zC|I}kofz;a|+#0Ochg6wuJ#+Cg==J6Q{Jm zA}{0u)N(lMekGY@dVYH{HBQxY6pEI$#r=;yMVJA9@I-%0TQu%U$O%gBNDhk@o&(P;QE3-e0JGcZd$Y-#1}SE|fW*TwC63lkl%r-!%^{FT9w>Uc5a$l%0w zQabf6e1eHrNjHDsLL$gZ#+`<2)rJaq>3HC+^n8|M-jcTlncoIRP<~(A$$>~!=}Lmg zhaO;6HoiKqw4d4@DUJ93ap9zrZG~CW=%-yaQbnWqhI7G^Ay6;oW%~AuefM5Uz)j@1 zS&z)EjvNR08bcLK7yA>I1xt_Q-^ePtMoI(pWVEHBWr97zJCSVuU5r4oA`vUr#(*%| zlneWu6u)Scg>yZRI@hl`2!T90bkf>XiwWqO&`6V~>QZovY z+%QTss6BzZaN7cNqK?!&G{3K`bsGIKCeuhxOIA%_u#4CZ@b+x#W^Q+g4}c!)feHV5 z*cjG5N*8YBzJC4u%UCs=%&_ZXgf_$5y40*>R-Q5=q+fO4lGNj=xfM-nB#cR6p1zhs?}^X zxfiAF@#!yBl1XmXkGhkK8BrE*Yf4{)pv za+z>XkLnZzy9`%LRJV{Ye~FuVggsaAunf#BQb4>is(E*9eF`O#tc6dlo@Iy{?}tp} z84BzZv?cJktim--TbltPNOn86+yP}~97OYu0GPqO{w6tRRB};kdZ)&LMb->Oo~Q+2 z?*m${VC85F!C5y5?_Y$KnBG*;;%YK6MXM{RsiYG-xKPK|lurlN-lHg1`aP?>`IMY1 zHvS;WoZEo3^N>SZV;a*&xp~nOoubfH!<<3oQA@nbGO&^~R9TV&y95(yJntNEh+w(- zDso-ZNOaa3U%{~O6>UaDmltq~_BAA+{`>8AtUTh(m;0;w>&yE_GdYve2L_-P04X?aqeG2dHX#b zg-p2uF4yCmNbydooUdITzZa-y=FL!|1BV0p`W^?R3n@pQXO;OKI(wif;%6doW*Uux zqKmFXqeSbD`tyneM!svF>d&bwp?L5NQ?5)7-G@qhkCO>oc*-2^{l&9BSG)u9Q)8$HsN1qUgOR+U7$5LB62EyL9%~{MhsjJJW@pAo7=Pgd^&zI zz-_XpS4x3d^M(r$&vSpGpq3cmQ+>c{{ri*-Rj2z00G}Rd6mDTVRX7UcdY%GFZ>R{4 z+@au&Xd@B$ZqfcqR5x=YcPS4Pu(KVMzijrki)ktw z8qkOFO@Hzy7JWH( z?`6LsF!)iD4>;@)N6#02cG~$Niac@hmBl=*yVQK>7%PF$?y;TcAyfCPVyl$>koDBw z{c(gr@5{2)IXC#3N^=NIeVB1gxeT}K{4>`?>VNCJw_72?hL*MZfXYT`UAelcsML6{ zNAY!z5b#*mRkp_7BSbS;BIpISLD6Zojyvynqa(6>Z_^--S}F~qUH}oq021b!%V46~ z;n0*0wG4g&(mu0nSH8u$ad2q;bSa_LmU-3iFvxz7@b^k3dD{~BSLE#Id_0{qh{yG( zWpkH_-B9DHoef>_ocyfZ(;8(gdxFi+rAoIRrO2z|H`~Br+_CWLv><~zE@{p!i;@97 zQG>KC4sDB$Q-KZpI*GSUIDzx-b>I`8ExOGj8GH|i(ASH{dD5=)MJ(P=UE)>}Z2Q0= zW4lGW<%n0g?rnD9wqc*H4!a%XR}(k3VQT723%rh|wAEzoU!^avC-{5?XoTN|i`a(X zoj#nW3fl=OJaL6Z*Y!3DJQ{JgizJO`etuOEmz?BA!#}`w%(|Gt@M8WL993MiZWjvX zlVKY!Xm~c!C%CGm`6AT8d#X{6cfTg;%(Ec%_jq#3r*X3Yz0yrJHXV`{YkFH&m%}@w=-KV2ga{|p?jQu}R`9V4+# zMCfTdIFYdu#-L^xlB(Zv-M@kqvAgfG&Kc+Oc1qpiO%QA%ZRt+e z=NDNjs~QgT1v*}jPG;xTntRVkhvp`O{x8i0g+Q|{=AQ{Kx>3yB!MF8)@VG`jwr z;6fwOU}j@`AmQ3pfa%w)3PdNvxEPv{1SpY4U_?KNP1$`NHy5safB$Tj^avu3v$&iT zE>cDcy@}(G)5_H!2yrrAE;&gCgCoUL$0NnjmUhgjmOl{UB|s}0N!UQllzq?j2Sv&9 zT7Q-n6{?!GJ<>PSg!g6B(b{`y=bbdZsK(ikmB<<)z(t1x3<((alfnH7KaMvpH7SNA zjXFtgerd-;Uoeja9qlZ~mXFe)3zf+_EjDFUhHUsA@oF&IPBNSyf=xgOkCq&#S5?Rd z3MBouf2n%-AeHl#_O-#v85{&h~9ac@dcUSS`<7tp^OqR*B7$V0MQ-<_VP?Q(9 zyJVgWv@NIsIf{oRxHy-i@eX-aR2Ysb9^_zfW+pgR7>ClQ{?ga*F%T*$6!am<+LRZ< z)MmEFO4nr^mAqN9@_#?k&&|}$st;lt=d_F0k$kqh=Egw4p$rqYRGg6B0|5C)C zxXK+eSe!L*pP=1Cm4`!5Z<=Apv)W>1aJX5S4}%r}-ijwBb%VVnUes0QGL$+OQf*F+ zV;T;%jN0kSRsWcrT3QR^SLl+2`qSJ2_Zf$LN_bjfN_{Qfuu@uh{>Hv?3Yvdpdffe% zmOs2`VH+A*hiS?*dPr<<={fvuR4sZ~bIfJsc)3EVcCA(U&Tfv`zm+S4xN5!uJ|r9S zZ?93n<%lHA?&d=45muRfwM(Pqq?~iNi4lDc%w06oC-bh1o|Iye*kDcEwclBS4PC;% zn2H9bxHoP>@YsAYTE4hng~sYm%li2Gf|~Sb{G^I&Y@4|#LD$!RJNmw;jjmF{rn}ah(QndUmd|FiUIRkN7t>*RUid z+b#dW>`5r7U=wFo@8mHJ9sZhVxgARe$=sDZ>(mMBch|2*Zq*_6FS$edQ`5kzWe+U> zd4VZsSIY`4JXNmFs=4UUAr#lUurZy9WB;-!4z9U13rvbNS2mV7j)FDL4xF2nbh?XL z%)9NHjreHvDdUL@u24&bbPU}6F~H+1=bXAxH{44_Oz^78b>Q5IMu)YHRtx5`oH|~o zf1Mb4~(}^3Q=F6M~HT?)13NHnM&Hx)^PCOS7|-U*oGFJg$hTZiAIRM$$82=Eg;9 zH}(%&6W=bpQyqF}i_f$8UV`DT#y31Lr^!=To!kxho~`5*`|eh`3n$r1l-UpQU5j9E zTCo;4;~DnQ6}PMxVC9s>I-?VMwkgVk;RX|nGC%4Ko1S5QKIZPc5En#^#VAO_K`^a} z50(G5^iZ#3iOIose~jG;=g;`Hdk&glt+oL0PZf3i^8qh2X~2AIw<(lGjigerc4DpM zo)SlqS!AklWVC;MeBRlX8k5H?xb<9T0h)bPbfe*g?A-MZ5O#c>$ryDUF*^W4hx|^6p&Ch6VLca$y1_jFbDAK@B+xd>b4{=&<5J|% z)NEpX#)rBL@D9*vRz|Un!rv4u6Y!TAPhLaj6<4E3BhUU+Ii=a9YZ zKvl5*PYAXEvsg7NP2oNxKk5bi8f>-TI}*fkmMB~qu>C+bnJi(RvNb$0r<=Yx65n!M z=O^@%S!BRZ-jM?zZ{Qu$RKr6vP0du5EcsN!nVQ~`SOys!XoYox zP~HmBpQiNel0~_?)Kn$)28-`@OV(ZTFf>eiuz@VQeyI3C2W1Y2D>!7sh(mU; zYu}&QdVPz-s5yjuZaN_&Ce)%qK+XHXik_n41N`<{iJI8BHjdIsxln5h`F zolc}Rp((pI*QxjT*vlN78s47a(%VVO(>#dH7LKkQMm$8gp=6)dyDG(;KUnbacaKJn znSjRWOgA_SG$NT?A9?jGm0CA2X`iAwog}^rnjHtfKq=D7DW2*E3~}*BRdkHPgx5>l zVNU&mC(Y6O+cCj6&S@N@CF*!vebSA{T}Sq~JPMqSd?D7Gz5UVKdHTc%{`UW37_3Fy zG;Aq(#hhK+-aA7I8+Z;m4(VPS+y=?OrrUevo11Xyn zsBba=;3{CTtOs1IuDj6GYX73OJV>ELaNbM%zc7p>IUuZy*`lr2LP9k6%I zx+E7H(OX7W4vXT+=b?kNul%Lo#_ps8bmX1M-_DzpdfmC4yQzHL6dTv(et`crvTvn9 zmNLK#1JE=&P@uoV3IDEOX>nbAD*jh64+RMNtgBgOnlC~h)?C1rx?280Ph^I)A&756 zs&ZLv_CRQE9C&z?$&fG{d@7&d6`*vrkGtCM!}P}7Gbo8X4@mwX-e`~AFLA>way+Q7 zEKb{e8#nY7x}Hwb{0tfJcbQY@g1Z*Wc~WxQ0dPYoJ*c_{LBQNk*zzGi&Z$ z8EMYNL+Tqhy36XYFuvnX3s5Kvb4<&rDPeC)+FoL-sl`7Q47}KV(B)}q7!i!6IML!$ zn`1Jx*SGbp(ths=u|*Wm^qesvm|!n}c~(I~*IrQ>4KOLitYLk`t*sjD8y)%%imlB! z4%`!dJYC$&dM9P}(k+4F!yCtBqm;268cOfV`RJj*lR4VWMO}w{+=S|F- z@3oJ#I~;UQX26fPkhs_PAzE}L?u>Uk0$mLYq2%5&mSx(hl!I0>H%$+*3Nt6Ti2~K^ z-*>O<5VvH})3!1A-AS)#WT0vXCInl3JU#qSCKwzH;@~Ndq)cX}OSBf6C9Jc%hNF{8 zO>It_kK?)OXG0g!BgSwOSK?Po;)wrr?y-a|qGR+dQ7I-AHr|3C#QYuiO82Xc19Ho( z;}hUn)wS~xS^efX_^Ti1s;!l#Xv~^~G(`E99>C92yH10km%h)ARZ@p$v0KR+lM^0W zO5NwaP7$*Yzcz-Nv6!B`;;3|A^0`RKEB93qYft%Fyd#uvdgOd*3D7j`*!OQvO}`L4 zU2beSbyGGsg#{nqQMwiRnI6d=aPumi3|%JhaVbd|b_DSH(Z2hB>}c`4A534ric*)m z3S=k%>d2)Fg|~(KH4i2nIX&;`n3{?oF8>b*;#WmGN0x-s@ys4pl&3N^X`VViC4g12 zx@7{Z3MT5HGxScY_D*5RHEwydeRVPloal3R^GrweiN1TlBBY<7&AIZ#6$~7u&63Zi zd{A#}wJ~Rk$y&xD6`6-qJmxq0Qoo-eOP{!VqS4PA9FwRyIMA|vvflhdN#;}hnHo7g zTQPjdPQ{VO2jakg6?C<9ZJ>doo7(Fo8`-9~Yvd(lkp9twU{aNl#vmN=Fdq^R_@?M( z_{LDC5rr%00Rc|@ef*$fH+fIQtH^;@^VhZ#hS;n4MHfMW2KoVI239$qRI*-WL&AZq zKMj#?Z?2;`)Fq06S7{jp?>OJ4U$_HCc>>e)YqLM)BpBYcy(`ohdb~#Zg5Dryqied8 z)nV|=(aT(frkIW78drl&Y-pu{AIF3+1?9f!AA`@4c|mjUh@wZHwfv8(Vc>_9wMI2h znSccGRji4r96VU}=sI1fK0ml|gQTqEL= z)e>DJcB~p5t&MWtVQwig2|ID8VOl6rEV-eXG)g6>Hpe+qk?3k^>Gc3y>Sie!DI}Ft zuh?O$Yj`7ES_MZraQUv>v^dm_4USdarfXEt`{ME%OVtE-Co7rs-rnV>+WnT(KWHA; zVJY@_dd#r$Tg4TrU?askNT_J0v-)b3`OeYAPdlxmBv!wnt8@pxHhM)sGIosWeCor=4gaqgFruX9!p2~WRq;Syw6bi;UN z;~)bD~6vNWnX8PCt>(>YqqdHzuGoX&9EhUu#mvDX5tosdE7{LeQ)Xs+_IwmYI! zMa98_t5uMB%doTDOy)Lzf#|rX!CaD5yN^zIy9xGvomvtH604u z&a@$kq9yoU3U@yK+VGh}+7{-O_O;qF1MmfI0*+>y7Sl9dl)ZI8jX|(<{v_wga@2nW zjb?W}=G$3ua1iQfxNEtLIIEYFf<|#^m2o7059oCTzNcv`r)dhXYUSARAU<0(t-vv6 zfQ{V97=v5v`?D91{lzeH(0X{Q22rIlqJu`KFv=___P4ef446j(kqz8@?UOC`tVi1U z>v_U$F!FSD8rH)Wi50di=q8t&u)m~4td=Sb6}ZxdLMq#U+~*31eP$Jdi0yPG2WoqB zwf-#vxDQAZzBVCXtM^0sv!3K)rfcSaS0ufIS{^I9J@1?*Up*eM&D?K(;5bfF>?p>k z=K-!MxV~B9=(JczJy<;3FkHs@tj=fFR?$O+PXF!1AeS6J>O4m5H(mzY@0HEA!%k69 zw1j`t+9PN5=D}S(qHf_AtQHd_yZi}6;djNy04aLU%V3m@VMDlQo|GPuFS%!o+{0;1 zfbvCs%U?Elh6bVtHet;DzeN#9e=cZ@Lhh+ZHYoAwpnv?h5%V~8$6%}wm>`+w?~j5( zhp4TGMc*i2Q|~I{Ad3orjF8GNcc)OXB3&cxod3RMXl~WrTQDCyK)gozFM_4+4l+>vP>n)^`2l%r?RNRGz{Jc zA+S>PP$m(n^`PvV41GYqLo-JfCR0aXkXdEnX>CDxV9z^x#&lJPtzuS0*wz&u?*TWk zy+eZ_NJLq11)K3{S^mf*9bY}+X9lC~0yw!*MPE~tDSE|kqu3Z_X*POH8JQ~F09^Af z3OkqHIV_i6{yjzstP!@#@P*RL@Wm)pZhXD-(G@qezcsFXfz^@t92$IR)F=Hee7Epl zrKK%0d?iFLCF|)&O=-Ew)(;nvYWw<9K_>OVYEvt`wd;^_hpF<028^M!#XAaoZvpsr*+06#uS*coE~v`NGzgCPD#@`aZorj z7-fOV5DlO=^h7%NXm6pbJ8I?LsJ-v~z0*_paqI9xy>*yg7wy0qD&@8a{L|lJYUegw z`Xs(O+IleW|3DL1=Y4m%d?gxy+ugzzT~Pjf=KLwHWsf6dk@oBXd}!+i%kjV8Rb5|S z?yxGysN)EoCO=mWR>GCk%FwNh@6e3`M zrl#yt&O+6D-+;EkXB|mM$J#NEl0dS^#4li8(c6s8H!yEs`n}PQx&We<^=PD12%_l3 z?hq=Qb?HdlJ;FKJ?)dp@e_9dvZVDayMxBIuPx2duZ~RUGO!RS^$us`N{99P*Vr(a6 zH<{m*lV*Hr3Y2$K2sJ9=zCMV5(fXg~!Qw{`yq}wq)2YTQNEEsuC?^%15-kgzskHAv z(eFc5#oxS=_I-6y)fG4-LeLWwUxcDM2Fgh+?@7uLJcKm$^M_qAG{swVgVYK`+awm| zb!7lSCHrB(U0OW^ssAFm5{wB=Gh;xe={uWMt(PEUH7Jf<#8A0pj8Z zEX_^Z+$mVO;xSwZ4Em#9eNQi!TLBfjq0%;2Q=`1!Dq9K}Y>F`k<;)ZRc2_y$QoRoj z&(7m4m7E^K0anAXsW`I64*s{Iye@ASUsq4i45I;{?^ek(V2isNWcpR2U|$X=u7^^< z-38*i(S4j64D#Xm-*&O@xm;Fp?xW!z{e?O_4^vR(L|U2ZA?&}uKrKc zugEMEsnx=GCJp4P>&Jumn+4&JDl+hj=?W`28y_3)D9RuRi*P?qL0%ia^-s_Bb15wNHVSh-yJfmDosw4Fm4khc1HYs)wD!s7JnjQB}7>QOWv$x36zhE!!F8 zJpQw=y_0bir_Kc&CEEmlrTT)zSUzK*FGZ0)*;|TVi;9TVj11faKza zJVD=vOy8Phx)aj2-N5B}VI+gTIgBOkPs^`DZ8?I1Pq5dTvPPSEKzU}XyK$Bm%PT6% zFyR*RSzME_`k$VeywixYz@T=q!e;gug_grLfbi4``jZhY$(R-`f$P>%@@TcNcvG23nXkHUwAk z!Jr>70KaUQYdC6oONTPg;rgwc8xnP$LWO9$TUH5e_hZ-&rMIU@c{y_|K^LwyXW>F< zr<26d<(Qz`+X%F!Jm;BZo?06|L^=ruK;1+du4lqq@6K^m?bQDRrKtNMAhi0;Z-C}xPH9DLBcT(?K`}2gcN*b@qeg{l ztcT_-0p&yL^oILqNlVGBLnwMjCY)MMEOHU`+W=IW^OG#Wg7=-O$20LZ$&&E<) zXsWb!_t-Zd8H0|T__QCfur;|}{3&W~#KQ?n>A9+Z%ZSd@vdh3Mlrbq9$W(DvRWK>y zzPhuc6%S617c=FRm+Z;sFFSEt2EBaRfwJZ(m@S~O@-C)fhKYMvvCQFTwOMqDV0qETxiLtCC}sUSz)5K7V+snQcBS7O{P zuN#|Uwu349FNjR+T50W9MKQC0LIB;L_NU>H=zLeuP}OD+2{%5(3r2c|E-Suu>y+L> zkQnrM585(qu+bgVpx~xE}y*^q%Kwid_`nM;mzn&ZqQUmWBh{( z^BC`BlCBtb-Tb39)9E&OG!>#1QicZGdRmnucS~(>&|lDJFAnhyaR^yeb6)>9+l%A! z_wbDpg;jij;552nV?jk=^B+$$*ugLNvl@bY%y6Ym%kmw^?B*C`0A?2O@Q+)i(xOLrqN$GU}M^bk_lxlA{!^+|DK^LEAQm&5c zPR~8ysB1dmOz%}Vc>Gx(#l)Mp{RILP!E8#43wF?-bbcGH1M%OSHTF=1lGr}1!0$qV z8%d}gW6Op?N(dy%6D(gISkHqkrNX%Il9E8iU-_pvnvE~vrFhwuIZqKUna-_nDuSK( z4s9KPjrTBf7zg2j(Y>MyvO{Mt=#7@9)KL^SDJywS%5ENMkjRG}#HY#4f;2r*M< z17}YqlXm;YG_q^{9DDvKa^`y>m)`VVsUX)RkvBK8y|AbJfAmd(T={q2H+jH( zTya>2ZGQP1UH1;;GCYFMf5E|z(}$Vsg1eeC!-HD*T{%w$hmUu{yO?xV!!0QI;&sndt5ecW8($Ud|o{ zv*By_zp+8!KX4G*L7~`|xg>KwWH2f2=u+^*`DRl4#F=e$a;n$DV={_?mb5?8Ah@A+ zx?v|IErnK-Sfn>!W-aBC10^+xeWFP;1Sic!r%iGP(HYYiWnE*dQ;+4+JZ-thPy6{D zGM{|T0hE`!l!NV4#L`rwBU@4LCk|@`DuD%OK^Cwr@V^9v>j5DJ|7U@dh@(YHeQ{w= zkX`-%!3T$@098h<6OL;m)joHml9Djr5#fjNk|y(vfrionq7X7PgyHGmlhFH> z0uuKJ6Gqkjo%?ulhp5Du(XR{Snb?3ugs+?NUSb(i=G?in`V!&`=$&VBf7w~0SB@1H z{8fgiT`JIz#^ex-9j@Nj;v;ns$0PP~DSF27iqTQsQ!q)?>;_L=*k62v3XaNp$zu02 zzYXt*ODeKPG-S-gN~|LEHl4=?^AZgcmmD+*V&}J=8d+FxjBNwr)3xw=LW;)llH*msSGrDEv9+iTY_nB>6bAGa5I?HQTZ!6D#c+*< z($uMlFVtFt?{BC*v1;06DgmFweK!6dw?{u0U4MTd6U||FJq6XKS$)QQaCcACdL5gz zb2ffFW_zS^Uele-P@J_|zImMx-t>ga@QCieW5geeFV}JiJt(DUs`#t16g(mK0csz2 zr5#kC%TQXqxV1&d&l(WdoVbvAu`jceg2bJ%RPP9NpEh7OaoC^1*#Io~UEUTENf&^a zPi@onhUN?xIUmR4|3+#Qk2(VQzfbNS2?nM@u^4CFe>Pu2Gk+yN(_dFBtd9pABme)P z9RmJeiifscul`3o^cImgJCk%O_1^$5Q}WsaS=uo@R{&JL^p=Xo_vf?TjowM#{Cf;u zA*|CqUwkTY=6cx7P4OM$d@r?^>cgsaeN96F^CJvUN()-6(fxyc)xOgV&9gpJyhQI=z%IqL#y0pke@{9r6cKggt3Q$(D|=; zBg5AQKL47L|2Zof^vgMW3KXDF)&50M1z>9}t-^neZP9AEzezgFg+=trQ3Jc?hSdyL zJ`c^7hR6wi-Mrk%QeGe@0<_3;f?M+zDAX)L17IwQDmhzNTPAovhMqNS+ir3lE}k(3 zw{~|`Lh_6Cj!xmaOyQ~pp_rjw-k_w31f*ko<5j+$E@?|7qLEN{IMtdo#p@aB0GnLj z5*d1BPvvxe*-|5sK`#}$UXF08L~q?R?s=&Mzfr-ET4%6iVS&RKWg=K}VI)5H01^)~ z@1dB2XfiwSxWtG*AvvvjjtH?!%?Jk~T_%?9@O>28kCF#nCd4=-$eT!P?4#&-`>SF5KwWvr3EAaPYHo@N@U@BQ0OXMv)elEomh z`uoE4bY$XvVj9xi?Ksc6)|HaWymW@VAt9-aoTnlXr{&@y9Vcij$*?3)z;piX(#nD* z)ZV@uuO35P)yIo`>Gm9*heZxAu%Ht7_Ozm6Vm?ObNX>kc>VOm@kzbBxi1&+`D#;il z0tX>|5`Lez7Rw{d>DUb}PGP6KF=){RZ)}X|H%wzd_5fO{@q7QJ5|nspF~adGQVoW? zqsByJ|JC!`{qEB95;Yqp5K{7Qy#W+g<%&EcRQvXzKPguJdI#&{M?-^I(77h2wr<0c zx&B{y6q^~nUS<(jQO@UKL9qC$gDa{|ioDqzIA9_=Pz9@FZO9RBEv4i39S&Q;j``Pg z{&*!w21~2n4^eRjI)XtBbLxEuuVtS<kAi zE8`xO+FRq3TbSBs=-yfcB9pw9xH43-(;!j1->iWveaw8R!WqlLQh8a_4+ zrtUg!P)1Qh=&%?IP=Cz3jMYJjO@pMneku|OPu3&nRPQkzz*~y-)j1u|8L0Y2ex5zd zrgprBk8cCl+@>Bp#FanM?i6^ye=CHTPlIt!XO9u!pLo8+^Bcn*QM!~QT1QL#jl#u$ zXa+^ueOkMt)wg2t#`-#{+d6V5(5Urxy39vkrI@95n*NpssDsP^iAE$}bdQlS#57KU z>u&kgug929beEGwG#0x^&7yYP{2a2mPt{*EhRsWvlPi}?lj3J5Y};=9Tb0VC#nxcG zn?hQ1W!G1QfNQvWhnD7pU=TVQseX3`U0S*FWY$mA8wIS(*! zURlnBUGsTDTf!Ss=q*nJF;$Ah33zjM`tIJD`~waF=xvhquXl<3Z9)1gJ&F7@`SFxB z5~0;q@(yHrN)fs#=PYg`va3Txc<0fJh~rAEffVy9N7miT;y|HZnVRG6=q19mRKxLn zotq~QOP>~^Ln8kh`t^Qbj?89z4&1L3jiH6|aLU^(&RZ7Ua+x|GsCE8nC1S-x%o|jF z>5{%^pzo;4B{E+mm(FT6c^WKlzmVP1s{U)?5~?zI_w*B%pn|?quuDWcI}aPYPLX? zI`NJCi;dbM1>yV((B4^xQzSc#^?XT?$&jNj{UiUBf*mWAIP)$eiXJ)xTA42=pekVwy&8e8jw(6xT1fc*%xnL^WcRH+O{$P z(U7TwK!?0geMz2uE){qXcgDGpzL(In<>*2#llUCfh!fD$ zcy1*PbedOaUGJH$aPyUL!@2TsIctlCHsGbK?Muc>D|EuLbPAqtek9u?Vpr&vuTuzu z$?X!z`QwDRT%{g$*!C$E%N$_FVrWkTY)-1Vor4aC74kdU281R1H-vd@@%U9^4UeE37NtEa*{v zcz^HnJ-8Q~>#VPRFxepD(%hE6jZ{P67K2P<$FIy_c7!6RGpYCc+cl%qcAo+KKCg{s zG)!NT>f#7k)`nbo)`oUlqn6uyJ@612Ph0?wbij{&!q?+x(VTK>3cG7rdMT_7D@%%n z`_KIianBQ6Hnq8hwgVjg@r?XQGH{JVLDOY?&rZ=acCD{cCs|flI!y85zuZ(CEI2f~ z)^C()X0j|NsZBnQ;nm0;%Yc7AMwpWW!VryUklYPVlqB5ALHL zG?(SMJF}L86&5;qrMbqFio^qEx=MR-qfExZ`Desj=^2v9sTuO_3%}TkzBzu~qsMJo zjbyob|6*C0O|S2D3bA=h+xfVGGxP|y(up!zW;bLUnfeRU?)H+lzW^mf;UmF+6j9V} zNm5T!Gu3?r1jjWyNhdHZS}z?&wsmfE(46myL_&1$22ew)SOno6B09&hAc$Ld)|hw#h9;)u-8F7 zxAm0`4LN{2G~lH}MEUJYyhSLo(dTgO^9dDVfBxi>+x~nWTmzmmm#(;^NkZGb&y~)5 zVil1Qh<{r#ln|$VoQ=c*W530H%LOu^nr_~=yqwrObekZ5b_72<*g%~#&f^!$E-rmn z1}B0#zHjb~-eFrit>*m}!}{q=07VNp!8C*Cb!7q`09VCEcOLXd0v$d#aFq4F~2UTF16xRrmWlKEX$%}l{710R3lWgRm%ue zM_x5$cFWU(gkW@raeOji_$hv_&{+Pd#e(O#c8h#iP0wt1Ik?VNn99gKBw|I2@$b)* zz2JTTCgyL8PLFUtZjZC4f5_4+Xj~_t@q$chNB1VPJb+Wbi*~pWjLT2D$?Q}t>6^;F zm3McvWa~&A7xPK|4A8?X!3tm21RlF zw=ziRr+F_HY4XR%vF}t(`^Rc?`;nSKqY&`rrlwWIM)zRQ=i+eUs#hfCL8u7j@NjJ#i^aBRG z&$eNt%TWWGk8GWY(;O&>hwha({`<{NT*k%lPTTn?Y_&9|vXU`|rwlZ9SC5UO@@^&C zBdqz|s>B0P_JOu!=Q*s+Vcm`TD~$XKEghP_mzjQ62xK{jx0fm$ue+0;qq~^`*Pz1s z*PkNBeauo{Heyc}1z)NsznFm}UI5*|c;rtOj1a$xZIpK^G0j*dM~3rWyIDY6QJo3B z>;-hj%?iAqW)lC~xia*x)s8QaeF53l4Z`^_?a0{oH4KwzjFa%sqAmw1wTf2FRCyJ4 zckyEEVCDo)!PIosIuVrn=f%Z1VTR%@EmU(K=Ha0-?94Oa&f_!#U$2|P$N8wp3t zFCFDf$4q>ZlbvIxe>{b}S z?iV{O%z0@7tVs@lX1=2?L(LlhSx*MnD)32~C-j&aoy-T8E#9#w9FOAU7t zaTb&p0Q-*&hjt^?OBG1;CD7*B5Ts&hC(}D&JM=xR$r`7!oJoARte9o@-{*>lfBotH z@^zJb;{FLx zy`# zvro};-?YLODeCdPS8FJ0|Cz96FAeZV9`t(>20kQaNM2tt;q4NUyXA0whc6t$&s1+# zxPu#?oxC*_Y84s}mESf*QL|r56@?Z~_#)x*3uCOTpAm!w??f5U;DzN?Imr zES=uROC@Y#>WIeV8?MP92#2>#{C@{~IBtN6Q0m78B{iai?^~fCcwr7-O6f!+;exO% zkeYj#+v|vt+&CIHlph@MBnM+eLjIQtO8x_EMnqd-f;a>zU_S%any)`0*fZ%WSgu=< zfJFeMi{+lCQglL`JpUa%0w)2yC2*Ldc;X)M7Y1pe;qB{fQ`5x`;nyU3G1!27PaEWLD+uPGEB6M$s!oyi(#SQ zq18r*Tnad-hh8WLOl$ZCmgecr$(b1X@tI#aT`hqbdyA!t>58Is3ezsxaqGZWDn(gi z+w=~0OS>(__2q&w8hJu4UxV`O$5+aOsO$wxZyzDBUTkROwBFsRzN~`ecG|4l==VdK z`}9YuN^AvZHvBpDk+BjIB5MnG;81HJKItBJX2TJ8G$wMGvz1ubhE4CPd#eg-E~&0h z?w}R3%tSe6TL~K}>T(%bK?i{NjbE$^Usy}g*8Z)!o+dm9zdp#k&P)oXg2Ei-Rm!7< zTkC%OrWLBPE)F(>7RG#;-_f#|EDzn5bsKN<@fO5M~C(2Au!Lw^c!8L|&rVHsdLVSXf7%^~ZT zc>nI)58A9>nbpD;A^AD}e-K+MGzfkPMVP%dH0a2YDUXdgo|-*Zt8bJDIUUoR|MFKP z7mA5eycbb|gOm}|YLyzuh%QK09*51Tr?zO9@Sz~7M5}6wE=x9GLA%sbp@Oc*N+t9N zMRIBksIjP@FCvL63C{)u?{J2CUi(}n6hM7Oa?(r(Pl(*4$6cwa0^N%rzz@)$ZU?2I z>r+*Ksih3$Mp#ix>}m@+Ly=Pte79r?a7T$EC;Fi+=0%KM;T@V!lk5RIbIxs&$1&oF zRr>I5W{-k^H?%teVyybMffc3Z+C{66C4 zb=k}omPV3i`!QoYn+`rYX9?=8XT=(=|AN!$e|T!^vTd$vyh`Q`4l=t-A=ip z*Gv&I*hYm;u7U@@a_n1Zo%LFEfck{Hg1_O_(zkini||yq!nPQ-`K*5Cp5*zQHCq;( zpq>-Y^TV8X2aW^bDjy3jpY5E6J``hu3-@cR?=a^*1&O76LLJZ_t{6f{fp?A89Wl-E zEV}mm+A?(Hukj6jQY#E5t;9yhk7(^V!3?6u54)pYDEw}3w#fWJN}IKU5;b`rKlh3J zGw6r?W3^vcjf9v_I4k0_zce)IU^^j)0wr|~?RMCUU2cHf4m7$!A)mJA4e8q0slxlR z0vTI<=1NCG(X1bXyi~5EyhTwdCU+DHSC|%WP#IbUXWZBu%8;<9)A?q{c(gdWe2vbP zBU2yIKOHV}w3{78HSkYag&7R38EQOGo|a#7;`Q_UFi@Tfe_3uF6OdZfLbHp5nnFz9 z-I?P=FGYd6UuZPD15Cs{k0@&V%CLVPov2>?2UzX2hiZw1kwtXT?t)EpeIy)$zh(a0 z-F@Tv>8EWZK$80ncGW_mQe>wt=of#5ko+TUi1yHDMqkw|OTkjefH8D{*JwbddHQ?Q z8c~T<)RQ8mlr4_%naH>LAfjnwoHI1*0h+|wZ9z96vLReEsDg3|j4#4c3DN?>O_Bo< zi${C-LcNaRI$Cy;NsDJ$jPKi(9FFWYE8T)P^O)rc7V@khf#Fvgz*BsZKgqr_(&QwI zKg5{f5;#S`tdjZFc;)Qq5f-6B>?V>d>xLZ3{iAAd*M{-GAL7!MzcnP4LM$e=`FQ!g z>l&p1zW@wkPG8h+=?TS(@jShV>=FJrWG{9VL34338KNA?jj5yGzE67hZwsF|uY5Hb z?ns)(;cr~b|Map$g`*QIfafOXQ4>+O?)Bu1chb{kcqnzsoi5wOx&L~eq+N?C0#)?O=_Nx`?vK27y=AJOSgU^s5$DZe z43KQ{2_nlQGI`$oeA;VWW{m53ovG#pW~C*}S0rTg4{6KwtVODAaKGJdkA)XaZdXI! zfKVO&?MBGCdbLECFsr(Ow;R#!Ij7V5iYzGkH(oi`t?yOyX&&jt`b63O0aqASK%LVg zSfomM^AHUG+d~Ski}ZjSmdVmukkhjtk5VM8AI!jcef^F9x4;ohGR1>w$R$1n@Lj)@ zxWnc5yY5!|-CThm<|NH3G?rC!1{*DXT|DJdfdL`}5(#$#Ix*ip{5WI{$<@61PPa>! z?ej7rYI>`W-NOuJZOytv)Dty#-A{_Ez$R8Lk+(}}+4_M7=Q~Ip=c)mo+?N^nKnmlM z#ZxLG*&x$yMK3FrbnP@#>vAV?z&wLTwtLH-Q1K=o)N)D69xSMpWnV}S2jWj79`tcs z_^=7@)2AKGq`m`DSBQ(Y>-yrOES%GENi#;HJ5}3Uk}SFB?{_(UZ$3mhr8O{7nV9))h>*f~N7Y*Kup#RdnXsZJ z$jnsSgJDE-ZCmOyy5?mPpz6f8k-oHs(o;KQL{>Vd`A-G|yW)|AU38}WT2r##`j21J z>R7hkt2($1-K87z+NvkRnZ*m3s#=^@#q|g_kD?}F;eU|I>E&werEE;g{xcyN^9NDd zIx4f4K*jV<>uUl!@jn&Vv0p(!7K<10f9p4zMQz{}|MMP*wpxqVN`M>hwqrH1tYK&w zPp*S->(zOs|GOvX|7}I?wqPCUgkeja@HT* zx6x@j44u>FF(lJzbVa0?5gCMqndeHOPMkes`N+XQLa-DzqM>FQrF-rs8>2Nz<|z8Dj&5 zJd+lM?Y!DZli*7?gLIY%1xa8rS;;<2NJT7sW3Cunhp-I^Dt11TN*h^xlrb@ zOy=m$5~OgTo8gBcdsB8tw6gl_$;{imCbS9gYkqmJx4d1+0pp*vX2E|cMWit&yd2@% z_Y(TrTdhKxEUJ%t+YkXS+>ax}GiwJ0@+Oii0_~D3eZLlF)|2#6JO#wbD2h9$K z8b1MHE7&{TK82Zb#OdC-mGl&bBeXO__kuRDu$35?#`tp;iMnNlkJX*A`+}=K{L}WL zjfi3{&doV~uV9_t<<=6QZ%Yv3ODh-tt`@>Y z7)!(qy>j|jTk1?<3>ZxuD(jF{%}U&~QC+>9f7SOs$9L$Jz4SQw57;qD3w;uh3bH_5 zC~4U$uB>idDTcQ=TuO?5XXxH49-X;<=)C)x_S1^(mCD_EuGo(CPcgkY#P~PD-(Ng! z;|IUM*8ghDx1h+3)A^2`uN{H^Y+0@v^ZT@+d8_!b^X@PA=FhaR>qU`cEC?qV=qH_9 zm7q53%hskXkt!m+cqY)ZBJ}#XQGL1+qP}n6PuGv%#-K&pQ=;!p7Va%H>!76b??4= z;aa~1v2pPLal4>^moc)O)}B#TFk72~_NO00ZHH^qbsOL$r`nK)87BzLtfeeX*V#Zt zV<*+dJ9|=xAWs(-!IWB7+<+s%D`u1#)3Jd2xN%9 zOWvRICz^J2pPD8}UxXjJuo8$YAUUf1?mk}&X`WqsFdJ&lQv{7nMI=&t9iUuEq3gmj;&G6`!)wpUjIxFk%kvOB{!H;$n6D0l{120w z2qKvw@s~&wrC+5dR@aagj8P9`D?=2?SJH6ns%eea(o>EA8A zwS++~`3Oy=N%?grkp+XafrTr87F*O;w^hQ&MmD{B}9!@n{>Oy2DravQ5wQ zS*ZFuqzZ981F5=<1>h=(QUt%O(~nPm0?KOEL@zDKE9IJqLTFQ}88jf4HtfB9ccKc1(A#C$`Lhm%?^SX3HbidKhkC+l+Oo)0*eZ zF8VKFGGvubi$sJ6p*9raw!%&@WnC7`o{2VR+X3d@<$OmSJp69HU!d5Qf32{B!d}67 zQpi-pKswy6(RgHUbOWJLho@mEIl6vqp1FA!5=g5@dJKvNOe;uS;*IihU&1_=&T+=@ zjf}+@!i+Blg4I+F30@=xlPYjk)EZ$ISfCehWKuDlxuAyZvB?;4zZAz*Iac4XU2pQ3 z);5_z_xx~qV1$BjQbJhHX$d?`)``M7;jaQ4d~U7Sy}S2DLH@-_9#Co=6B!Ys*gGHatoR`tO zsXPkR0>9RUk-cuuY_T|28(`%TTl(n(Ds9iq^P+?%`EG4(H(EZ7imu&` zSzD77l&*eiez=gH2sSYZ2OYw3oS|NBTcb6n+p%Xvj@NAW4bj ziYCy)c)&PGM1|49(9rP(Qo*p2Y~1CMxe%RW{#uK8^>)l5FMkq)qtfRgIkk$%ichQZ zhe>=wob(&nlU7k)A|6T#C;VZYUW7`QY&1f|VfWqCI00hJSClRM1<~e;vo?4JWWoU0 zan`_Yu{xrU$?N?`;lvgcB@fjaq+iM0Xo*bvZ@tWm2Oq8doHGo?|xg$CYK0b~9e7ik;dt<`7eX`M8r+>R5r zOP^!t8$_FWsm9t2b7l6e9K4G-3PN`}r;9(NL=r2U50{ zc|xOhPNqHPKYM@v&mP%=Jx`x@cL2hFZa9F!91nA4{VnDF;D#)Mj7pyJ>*u#huuEg! zaq`YU(S`%}>sV74pX1PnI79B&LPz>OWXhTZ^BBTMmUXBzu==2EzsRxeVudKruT({$ zpxTUCF8Rm}k8AnJu2TA%EBdhQi`c|}*}Z-w-n0A;I(w`ry>NA&KWG9!>x-B~Ti|QA zH^#rBRy1eQHRS78RU?N2drtTp4X8O-|p1NzM_(I*7=AtHEwGNaSJy z!%|07+123|0O1P}$(8D0jJUy~vRnloJs-|0KSK;Zr`AB;kGGB3>plix7XC9dzHi1F zX!_uE%K8%W_KchvlYps8X5)lwRVX6lc-> zzyleq1{0xj0kz&Fuf*I+F9^dze|*4Z6}I}}dNk|5)!g_1 zn&J-FjL;}?eXgLw+*{lW1ZEX9J0=LA=%2Hf)?%Uwyy3XgGj|t7rwW20>>>nLS1I{6 zR&RXGjkA}Gta4yLAo!iZPGfS$2X%=(2WD8kik#-nd@veg1-YJKOGe;u6St|}hVk}U zsPYqc7dgd($ge$6sw{(&dQon2#nLNZ1m__UcGfr!!qfJ3 z^YZ-Vp2AN$^<}wFgkM?es=z!O)a)1n=p)tcz4e2_XNbQ%E!`>nub$qot7SU)tl;Nq z-q(9afmS|3_7q!(Z-^49NhTDk4AfS~o6@`^rUMHcLqoiMKfsd^SuD1)zAFpaxyWy_ zmh5j++d4`wwI_{D4X=~92Grzkh!%WbY{IhVD0Z%Ks-o9@=DpPezH`l?Hg%Kz5PMf{ zAM2qU@Bg6$ZZT8rFAM4j2$K)RooH&kWaX=??CvV8OA=rGx0c(BxtKrqMi&VXkRNlx zoGJ1ry8A^wtN^HhgTGzM%_FgqVRQsjOe{-QqI+Q-1$+yB5XX|ouKDJ}n2boeJG^UZulu6)|3TH#$c9qYfgVC{V| zEd16p{Jt0#+r}b%kD0MMe~3cx2|02eDAIhGjO>AJmz1MFgF2`Pmz+266aoQqZ%k{^ zj~N~a9G;LzK7=VZkXH5iIp-oS6!Bja%&?@cHadP7sp`X>w(GOq7j;xBLF}4;NYhOwKFZsuRFAh?nyRNHJ zA89P4o@YaqmZ74>-1pDKnYWfNL_JU0hBDgR<79fBVFY@LX&M;<**%e(@?QT|!+G8s z`-YB4s@_DE*6QPh*G#oUZ#C<}I7o_xeW@@I+tHbGp@YgBaKSvW2} z6iiYi@hmB3><~C8~i`m48th0kZ; z@X{~Fde6Cte;N`2@xs0s(JPB(wF5$y~$MxGc4zcv)QjIeJ*4YNGNlrOxFPOg$`Y1Afm3^)^M2*pbUo}?^ z)H{F_yEMd3QkzG7sg{Yj5Ow~=!0xm8ysXjM2Uf+`&? z#w_dKpX$$(dH&Tc4v1ilV!x_YaCkx2)m3uQ)rr?7VD7$%Z*kfztqRGbJx|C09r94; zG(lGgH*`hYdrmLfLt&oY+s569O3VY?}|N*N`4Yv-8AY6eTI{ZH?Y z{f4##g*qB#Ouz-~z6_1aDqU!f=Ew^rt9<^SbyE4!Ha?=5NbO6a;!w?s=&K;TP}+Y< zXo<$?TsJts^cF#yX08g@e6?}ltUrEgI{rX$m#Z>$V7jBM!8jS$@~jlsQ73|oP8cYG zNfKmZkxsnarK&5Lzt%&i*hlCORAac!o2g}v0ruzjMVKDl%zb*A_I}#Ls|wu*3-_!IL9Dk+1NxJt1(yZKt!qH zxUMz-Zq1&}PnYu10GIIuQcv1U?!hx+$80D7WvFe*s5ZbkpJl9{26bnXP*Bq0XtZyR za=OIGzo-N6g!FM*kH`zH#2HI^z49z+tzkz<* zFZ#yI!9$|RjIj%1$EZ|!v*m5D(c9{9@ zWg!5By`9ZAzuRysQeQ(gWT^8>8X(G$x;`tQsFaV^j;pp-d=5(U8;snuR`zlNnlaYucdR6ljMoqD~__0+O>V4Z)?_CV+NmRv6OX zVanhZm7Bhu*^APKK=~j@u6*XOjP=&uGYfq6zWlvF;sfmbpL^h-=XK#o))wfi$)R{T zy=FfhsBcqu&TNU5jV6%e_EBpiZHUK@QZbC(`95rt8a&>9{<3roy~)5qzZ;A#6G(w~ zh*~fwDG}oTL0kPdr*e;!(hUF^ZoqQC_&=Dihe}@WK8yw}LsUGgVf6;;0VVDo4sAxk2mkM=kS4|*ZaK$XN6+}QAZYdIpWODX+at>zK~Qvv>3D6oK=AYprIGy7agY=Eg6!>9aY%WQO7elLR_rt8 z6-5j~V1c8-9n@GCM6k&F`fC@l*jGt$DXy1(zV|c!yY2}2JIpSm=9vcUFAbep{o>#g z`r!|`)ec)F;pKm%f4DI(6@7HM`-yZb)+6}4S?>2#tsTE|i&liyVn8}`3ocwdn zQ()%lpM6hA?LnudUgv!*pK}g{7hybSe=J|9Mf`1O@rZt0a3Z=hBc8qI{u@@?hssFL z!z}CRjI#0irz3&rY12s5AXx0Ee{Nv0kfOs&R5hSFrWEw7t88}I#wJQ1GtJcx+WIGs?Ogbfp~Uy|(gc5rTHhJ0k7`#AoeF*b!VE!|51X)~tE3E3 z-0a==nH~x#P}-UMp3cynxRaqa?}*U_h~EPh=Ots}amB_0@Ncw_IJy$V3gm77bo=4% z9(VvBrFQp_y%N`zhLvx`(ixPW&$PE+{YvKV4goZGc zi5>s;ecimrb)x@K%}0#Gytt^W-ioH(eBa&dTCyXEu&%y?b&bQ^q3DHwbCRxGv+hPj z`ji;=5rlK{ssz6eT$)FnGhqJPJwW*UJ*?}t{uOD}e?}64LO8=zfng4QSyf)7lj8<5 zNe%UQ%<~g+$8(!hnCr1EOuEr0SJqoAWxi4ab?fhUV#vraBsC3;=^+1*CpM zv6`P390gG?n9Xx=@mNwc2aCVq49Ek#p(*@MRz&B}P|fIbfRE;p6&$W&vk^EfBW^Eh z&54gw?|Q=PQjfv=>r&2D2YtooE!gvPN5X(DM$-$Obu#S7sYN#-`PjdD`($;28InKz za_&-{llOfRnYhXy9Bl8Ed_dL6^rImAvOV{a*KIZ+WuO#+_Qs|2q8U>4)o1bK6ToNbV|gJZMupDa zP#TWU8KQDaE$3Y4pt&B|NIP6rZkv?)!Qy7nX~#t2pttt|!-X7Pg)P((h1gFSZ}y|& z^^xDKoJ)Rw_IB$N83pm2OBkO2M3A9&hnVSIbmll$uC0^kxjMx+pex=&O7;Hz?qX;^ zM(A;H zr5MGKMF}yu^b&J@xYX6jL*uDt9j7tz4T`3pW&zmqv^6Ozx&hQns&6UwF0$wN#Kr&d zVtzh;=Us883&Rhr3K*@nbpUJ18r7$N5SCs@sbEjnMUT9)xz`Vhlu&}tCl<-&J7*Fz zL}}@ZkU|TUCxffXM@|x+pqY=PjqvRTzx$Oc&FZ900+vu2KZT2hx27SUgq9vk*_C_$ z)uQm~kL|wX*5B;}g}y1i1Ex7mKjw=Vme*H1rMr zl$nowkSzelA4E*rX>V;UlI}+NDNnJKX0fQ0^J<)mAB%qAM!6B*zGWQmIC+aG6}_*# zTDD6X6--Ia;>Ng7-|9*=0@HXI0W?L+Mdq(54hV9q{}8k;?~w5z+c`Lco1C|TH2t!p zgx;WTE5@0|xDG;Xc>jKOq_E6v0HiQh75+KlVg+z#+yeU7bR7hFa1)JLrJ&0KFeSzF;|ITju5KHbk0t_lOSd%9NGJ&?^SY}jk@{W3wF z$l6PmaXsH?hTI4gxP|0qCdY4{1L7FP;BH+-NV_#>RxH^;DRqR~B_mQNzOOh12Qr5o z-*bV$JinK-`>~rj=<>v&ITsuu|Kr0S=$3O(#x?z*`}6Mq$OS||NfZ5~qCkzt|1z_u zb1Fve*gHTlmr-xW%xK2?M$)N;zU(f-mTx|~v5$PjDHu696VUn8>i;tQa7j!7Lu`=_ zKys`NEpV*W6~9qp%Q3XOHKGbY;>jf8eFlI)E@`C1=uwC;K@em3H)~Pp8xY~(C(?Nk zD4%GY&F(Z2)#buqR;2YKt!ht*=&znoK2qF#83u3f?m#E6kJekN{gTC!6tpUox1`)< z+umM+m^DV?gm^&7 z>Jt6c?CZ+sg>8R93V~{m;yluL%B)6zBT?q}nqn|tEJ#a;fMlxCpv@nA-8jKHpWm}p zXV}@)hRNonzzy+V!1^iw{26Q;_60HaY`uS-%c<^VHUKTHu-6#s*2_5D&~t}924Et} z5|kdpN`&2KD|Z!+kfF%3O6)}9+fxC{pn~2*&_QP(A=ojSGW?puTf$6wjBh%lSR6t0 zs{AH!wk7I!7^}j$9u)Q=_xk3o%l;?($8*od%CfbxToLDnViQ8iv`UQ}PPaY54Du{h zwn4Ouq}a3?dAM3big_8>pGykX1iOd`l2|VJfJXa3Yd->ofT-jtSl0?lWji1<9D#PW zJ2C+eRXCYqD)#Eq?N*c%NxCsRxFIA0dgI3uZNu+pkNVCw_&UZ_|E}4Iz@`#^Lb*Ts zzZrN9Pw0_Gh-r{Bf!&9%hRU22_X$_=Sr?i!v*eetE(j*E*OQ9 z{y1(Hy9wE+`@De%Mv_hI9NO_bQp>N$#y=50?}Gnm;&*YTjP8Wr(GJX##T7S)Gzv)P zOGq`sNClD21{6y9Go?czOp zfd!s-vy??`;prNQ7DxLXx1MUJP`F79R4lp>t^>vtQfafh_e6bF?Vr%(ckYxAUhIWw zs<+S2IAn)8B|hp)SAn1&rBRKv%%X3G?0X<=gMut+TadL`)$58qFpt8{{E+)&c$kuS zr!L&n)cHS=g${Ew06)GqtS_ab-#=aMPlrsucCOc+r-dHsnX~MyFLyFW;|DpvK>^KS z6t&Zf)J#j?z1;ji{FJ(N-l?_fqGMjjJ?Ws>)4dZlNbeLV9YB6sBkd^P$4LN8pCVk9 zbgU&7Gh9u1VLfkf*0$)_I$aeJv=3R6XlV9No9+?5{Ok%_85#t9bI}pJ^(pUQEpKW* zp&x^P^0+RMPzJY#P6l@gFpB+xjzW_3EY#};Tm9e`UD1-6vklQfCtS!v$+X&>?A**R zN%yI32*>5Y31}S_sCx-76ppVTcTQ!t+2&yk;|}TU2-%zuQL@RE$A6{2*8JD6e(?Q4 zR&wE0^Uco|%J}&$jRm$Yij%)^(3)ZEpBpWdZ7&#zcAq&5_T?&yDR_idufv1byHl)vt5uRPs?%cs$(v30ridBcVJ9oelCy$V%E>G>5TNDhP%@6FV&KQnU8C)j`D* z=v{+SYed~Ufv>K&7#;szX&rq2&<{^;lBr~O(1V$xB}$-GwcG{g0!wRBQPY1>p-5HZ zVv7S*KXEjzAd|ag3=t^X@4j1)QI%uS>&Td;bg8t90_m6y=3(ZN__>D5EGo5b6Z#h; zZ)Mv74yQt(P+85b6y)9bR_}h-TBs#Mk1o;#Z`KLI809xB@JHfl|pJx zSv4}uLORZ5%YSu&`wlRBq?t9sC)zHGlXD3!euWUD6?=YSw;zoTETAOT(G;Hltntj* zenDl{+9$=E<1Z_nUOiSEut7kYHt1Yheai1yd5xh`W#&K0m|^FZWyPLI^+7R6&)@;C z7Le5nFqwY{)A(yj>nm&F7#8j2!#rN3KLPK|po!Zvp*IBgrpn@Ze9yF$mIW%~EK{{B zu4twV!JspRkVx+d=P>Ljb4j&2lfQLus3mVIyBMqxo5p$*f?9)VQ6=Cx6a2}5)z*#S zxS$$$X`}o%-C#ZLud?2@a9rjc@FGBOC%48u92>nmye#= zfZKZ1pFVE=9cp*ky@g6=(EAuT*Ay^}z2*EG(@o)a`vh{mr8B)A3=IqQOQYekRw5+w zqI|i02QFzfzb3MvQ#ORg6)0bR4;i#-#}!Md)6U-^sM3C~;Rt!4(t6=kv=#4MPyO#Y zhmy4dYPEWyW&B34xebu7o$}#hN40*>X)4!RLoC9Q)%T*gp73otS?3=nA zD2!B4Q(MIGMp!sfN10%E!=N+u%)g*`LWmr;SDpFR0UbUNEOKx}0?9xdRw#uYW8V~4e zzRPT&H#z3=ZlMWYGiPc5$FOJFPX&aRBIS6|siULM_jorpZp-aIZ>9c?oSJ#^9ubk3E+6l;qOQqA2r2ghS}l!7VdT1RZq?v)L) zT)+s$*j3EhOva=iL;pP(_ zgiep9d%+!bKvO_wHbbSWL?9atKmMH>e+Uq^pl!F=obI9FBEJ{^T|Sijxx$Sb`&CS|7Z`ai|AbWW zl-mDxJ&IW{7jg`UXugp)w4lBZn2+G}j|Y+(OiJQ*W5p780^IGUuCa-JYsRwRra+4L z!eCD|d%pWuY9FDdeM!NtEN@NAuB?o8p5AQosXwLDq^+nO5uflcG4h>?Fw?|gGE2f# zM`ONwp|Lw#rkd4$b0@NfG5mbJ@iD5bb=3)eTk#(<)|DwHwx%o@&Gjm>1uH^JiE2wu zsr@PJWj6OvBX_1Twzo|Svdht;JRnx3E}-L2*8WwNG@C8QmD|CsrX+{`^bUqM=NiVA z&NMr^4B&Er>t12GZzu9;j_Yn6Eqeq8k%K+UsQJ%PpRdnl2frBD=b1PnS%@5Df<-hIPL=*|_xe2Akkv+1`E5MU=F2U9} zZI%ZlJ0yEkFq@X%v!)*;9YgiBX6LPI0c`Ge{C0)^nN_x~eOUNiZjkccj3-&|X3sWjG<1aTB}mq;NH^#LR2-HM?s2mK8kWSJ3m=ftp@C3+I_5AK4lv40E0TaDLTdW zS7oOs{nX&`1DGe&_AA{&s`M~4Nc<9nHQroi(>B4+e}bQ+9ycp#uL~Eg_m0{g06%t4 zm|UgyW7}SAQA-?Z^q;F1ass2H(FX-bPGn+Ag0;(WNQ<^BNU$Jaa4rP_$(0j1llE(M zl*7P*bGB22uYMyg%I<6R56yp8AzRvUCE<``F5FB_bp9Z?FnkRkOmQV*i+F7;ibO3M zNpUr=ZS!vupN0>|!{zP4^M=w}Pu$Ho^#eY8qcR4IVrVD67$Z19SOlSl+xz!7&Ml9^ zYU&sa&JSSsykKtQQ6jiomY2OiLRu)yLeG^*AXqiRyl{-um1TwFWO7AH>Z+J%1&<+L zD(#*MQD4~nIE)$x(z{Uv^}&S*pDzbdUAQB1w|>j#uP|ayyHtck!VcUGIQo`Lj9cVD znm~c3T>FU?j>n7kmmALI8@xSTfKTICtT3gK(*cl1#Mt@sx2~Dkgc^5;pSfMO^Dx4- z)Tyy1P@QfxQkBu8Cd8lJ!e`KwooE*;H4v1!(5C*difF8fmF{rkS3c$W8Ks($A+1T7 z^oPvlYkp=HsEQ3~_*kGDquNfc^Am(xc`fYnJZ`-91*i6wE;=1raq5?$S)-fzEKPka z3!wdKpb2l?Xbw}hNjAY#g}4x|pP-Ji7H)x_0)|}$zC%N%i(hS@J`LUoqm!Uce0t{4 zh2o-ZiP}t2CA_!f=&66)K1m`!0P27qUlUE+-OQp5)%$fi>y#vUqp2Q)W_iLl5>!Pl zZy@LnJ$_w34*eM#?YXOi&S1gpu(X4L2m0UVO9cx&AV{GXIu?c%glNCUGp&GwE8kSC3Zy*0wG$rU%9tq6Yjuo`u~6A9Wnlg?-Cmgs9Of6vM9 z=zNI?kk?yu<-}*^q4qRHY_@Mr?==2khd{x{`NH++Cqum$=!C3xA4;8qPdfhvzFxTA zf~O!&=m5E=!R$?2^=E|zMl4A(MT`7)rp5#i=(hY2B8}EkE@*!h^2I+&+sr}Iv`-`n z)`}=$_L!f@Yx0ofsLQl~#5lEGGB3ro9aiICN^2itjIH6wPr` z@fqiyQy7qKqi&{koKR(#sJaJO4<~sH5&mSMI<3wmvdWatm24U}y7@)iCLe0O>;6gt9nUvCb{%Vzg^}pW;twsN_1aMSk83%p(7>yxY+s2##?1lJ)SG& zFIz&}=+`GWIdUwynoIqkZ_$)?Ejfs=zX>W|4b@n42E}AmSiH;zTMS4GrUfQTb9=5% zApX#OH>VY1o@B1e^(4O@z5=+l1MGg>9GB59wV&FaOfLiUbQmAc%Er z;-2KGw4SGN%lv9dQ3?m{O4O1|eqxn?G6u;C?JcJ*){F#^gjNM!MXxhh`h$*&v?21> zrbcsYge&kJj6s>0 zROF_@&?0cLn|)TPjvi~BsLsCV>rntVHjklnz7V#!6m0DKLJ0gVyaTnz(#7v1CBaS; zv$)JLz^|KyR3I@WD{}SEmCf^=P&1o!5w`w(JA)2B_$5ta@(i41x1PfBjwlw_kh+v6 zM#(QeQZe(;{e;BL8r@2bsW!{!ox*oGG%P^99WyPkPCJozEBfoP{z#TPr z7!+<%gW3KeeGc*(q!}7QgHoS1zyA4g4tv>CO=HAa-1yL=&}yv3TrT@0zzg`vX$L5u(!;UypWQ!FL$H;9m$N4Z1(l$n9c& zHa;*m@DZma-S}$~q%gDg9M(1e;wB!D#%B#I>?@*py|_h z0`=C_jBhqy@h2Zs?4J{u=XMrt9gb+5ZJbfTYpF{edR#yP!-P3jVCTOR3Gs79KB8i4 znG=S!^?c@)^hVeak%^}m=qk1&?SIIf^!x&(>iuzLzHQcF44-iNc}yU|Mxm zG6@<6kfVGm!4K847>!IG6eho6Wt4_)U)iyXdW|>|byGB{sVAu5m;K#x>3^tvJFFah zb{cO4L_)!te^g9xCE}Z#EV?=$4-JC0B+%-)&0*Q-84syywMDu(Q<)A8{t}h;8g39t zR76%bx78Vb!l6-DLY0-~Gz)EltVd(-t8`HrhG>Cg>0|F}bg?^~#i^Tiupx5uupZ~y zpUhhoVq?}LSOX154K*v?XqF94X8M3t5UM#|8>XY?!b(I#d@CxDoS;pcxuo`=-YDIjS0jYCqaC$ z`(o`VKXVpeg9uW4U;IcLoUm}J*~wXOr_u;5?V<%RCLkXB7_V5RP15`!_VQi8pN8 zkO|k1V~M#M$E@|Ef_*+{s7beK89@x;BVL7x&4+n_EfP-mIR&W%ThFK7y5}((czSVi z@uzLueF+sJAQAe!FktDypF^CO(;Yy)xBb8z`YUUkGp`IY_dBy2SUxPUjPiLaTY5Q1 zu0U*B-ZKYVph7b?uPvn)&-)Ltznncl-`SB3zmL2?1-LSR@@Vu_+VT25IG-8+p**Wm z&keO$#+fVA@UwT)n&G7so1mx(?NT;Kot>-aitecsHhCwM->VAK2FIa@-_n%sLy zX~8o38lbPfY~qS}2GfCfOnOC46UN}h=XQvxV;0He9~KpNz;t3+NT>-8($!r!viYenL}H2X+k&AF zS5G!1c6l~K3wIh|HCQA zJ7oe)Sp-qJ#8AndQnPWWhZmx7RQRi}BzLI<=1?f6e+Sbr?7gzL4{rrKldI<5WE;s2&p0fF72lkfrW;SE51VnXWaob z`_#Zny@|jOZb+ZT(}&%CzI!eOab^WqLP#K6mo|rT#V_}t%g5C*en!cK?UdW?-v}^4 z>LGhK9?$CPFq)L)Fo;|eQZ0)gCe|aqLix1wNiMLey!)x@-Dtpt-*8!rDV z;}G#gI>J;4_g|aZ{n@PS^ZV949xhkfM`!$wC+T*;x#bpF3(DTLk({z-c=Q*hqkbpC z*Pp<=ZfE85nseWFwTbgaaNE4rQS$|zS~ccMH*JfQ>%IvCDhf2MytG0AH}x|z&T8{8 zG7jB_7cTN`W-so*3o?|F57n+*_g82edw;&!k>U)|TzB)U@3oCX3o8c<%^8pKhUkk_ z3+x*(erT%7bW~Cka2mfAtNgtK$&pPf%6TVxfKk$OHiBN&un99?7bK5mI2ruHCcvS$ z9Ccyh$B<@X1No0+#cZ0#yl*j_2cIAIebzH`Bl~cDCCBZ{o?JSzbJb)_c3Mer+w=px z_WPx?5Py$ld*?b?(D!I;{PmzYGROAxblt@OEK5Uw$YHksKbuK-& z^p2#k^a>L}+T5ekRh?Fu(p?05=+;SihJ|=z7AW3V=7cqzhu7=xx|&00;0zvn3-b$2 z#&YA1DPg{z6b>oTGdCCb0TrBAH;oySa3vjD zzk2BuGJy@6jbSpY!#r;$`=Z^(eQ9HS)a}zLo*^0ce~Alp$XWvj4SdcBw{i2pM%bIt z+|PS0>)7kDTY(Zq6X0N>K-A*EStnU}>}Rp|y@Y^uLT)VM7@vjCiLd}YU#3sbGIJoL zM0k@4j< z3h8I2xV9qivi$Jk)--dIKUl{-D%(in&6U4|?21E*8j>$d>IU?Z=;q*!*6IW?^e4zv z5hT(Q)47*_u_cAMH8OE}#DLCkWRe&tiwprFXEp3=*65BUek3>Ri}G!NoY6{(5c}?K zvIr9IhS+%$jfKqK4Z1#_gb%_r*e1W2#F3cw4xVLTm3*`ohXX=W47x`32^w@_GNrIf zMutVD2$DbBwJ0ESRK9q_u=JcuesYccVchqqGWUpGi8dOa9lL6IVAs&$th6k4vRkJl znm?lMCC|iBnRdv6NAwcdtA#-zG`Ub;72S>;hKF(}O?#nRbYSwgG|oXzE$>izsAh`Br&h{`H9;HbO(9973b>9<3mul4 z{*4P@M^PYGPb`?*V>C+^tk~YMoRm`{BHmAK9rc>i}{Wv>^La2Rzeyn>Sblv!0r2Z7w&$GyWfc6Q-RT zG$fQ0aam5Jfb}Sy1HRrQ9rCP>C;bOuw7wKKMbr9eM(w}fM!doqXPV@PTEy<|zd3BI zDIM-j&4}OQjhS+rTZxoU1?C<4*qzk-AgV>J=0POsEN4SL_^Gye{9BmiGGo!w&si@$ zV>LRW?XPwB601eZropr~reV4|Rhl}Sr;$}l7FWcT2dITma32&Cz$Dt%GZ(AIS=%T5 zQ>E40#O8ZTH#anv`3~ovQN}(Y9m%+!7$nG^VCpCPT;6!IJC*(0z}~RE38DjzQiYR# z+k^^|P3HU6s=|4W)W>QXw)1d!BPS1n+?v*jhENsw_|zySFXEf}!iSsN+4Epbp!N$d z^cRz20jC^=ajSf!S>Y5G6#*R{3KobkW-wC8C4s?(5ec2SgEhbRAMm2fMup~0T`s*t zC2_vR*LP6=_+H}>S$GF4!Pf?3)YgWc?Hz)zv257CW`yA%rZP-t$;8=o-`E=N-8aXO zs_cd|B5R3*t&(nzS!_B|^$1xIgXBVC;NdCC4-lQANsgyS^HBe>D6X5LV4O<`E^I>~ z<0PT#0+Z5OP#DRCmJo!r*{8TZ`5kru$zi`0rbP+ARuY-qC?eJ10F63bI0Pkp`%-8I z{etO+GiaPpr^yUTPn50?Ny7LR1iL4)Wp*Zn5e*@;+}cOG4rV?`yB=>Y-k5(GRVom8 z0kBMx>W`Rbg*L>J(UtLH%be*26-?m%dC+AMu~(Y>XE2i7#JFI|#ClUM(;;9OtQ~P=O?F4{?exFWgl9jLLBH=q!HB!Cb^wXe zU6W3DdGrpmIAovmPzb59j>#g54c|Th>Jf=lq5>Z++3IsR++Oj_5?&1Y=<*{SVLG6K z2yw@d&-d7pO-rs|PR)aJ>{dQEB|TTE0RztBX;w%cLnX-=@lDhAu!N*d!HI%u2W9)6D6cK1!>t-uUi(Aw5C zQKpz$n+#tJSz0{O(&2Pr>zyCh$%CI61x%EMb z9H@v5N+fO~$}}*M*OVK0wttmrHEjb>ronvJvy%!c&VLqCAI;H-clZW81XTRRX$9pE ze7n{Ca-r5-I}M&M`6RRFx@QP5hkkhRKIaeya?cZ#j5A)(*CcqL13sU+M<}L@i5_5W zMp~YuB}|AE*i=POMS=tBAue0iLHN;kB09uGx&wm*-_aw9KT6)T0UwVKbDV4*@z8xIDSNea@=I#DlPqJwYXqC{Nel6)JL-} z=DS_4J)4fJ?!qj*M2jpEKdra8^!N6`DL*y#!pC0D`^UVE%-cX$B)vinhFj!sC&L$y ziO6h3!dSKtNsN)eK?G@<`pQO5ZpwwH2mfP9Dzl^a8thEaKM-mnxRdelqgldjQ>6b0 zD&Mc~@(qCGA3k`xc`}p|oF6^}vPMRX8JHiP>3!f026yu^dR}Sw{(8~u(xCw55@Ye8u|Vc+D+Uotw+LJ|xaRyXzTPo7kS=NioY=NGu|1h! zV%xTDr(@eTCbn(c*2KxgnK+yG+uCnycdNFltGfE0dv5oyu6xgU@HU}MYWTJm|GZ*w zepRvHxxXV;@dw+&*Xf$pWZ{LA0H<3U;+8bR@{DYhwdmiMWyXZ2XZXnW%t)VsV~#Lf zMC*WUj(HQflF^SxE33aXJqe%5D}jEb?5aUMHD(A zc{T%$>LJB>K-H}0}8O2`&lUn#E z#OL%-siXGHxm%mKp$9jXqDWOCX4D^wZLkL>1wq$OHA5-^43Pax9Ar8TPUx4A^(UD6eeC`wtm+j#c zG;3p%Om~ZA-jYItuY^yEb~kr+;OhkUIp0!W1756*OZ~|RkIZ{?{qy+*)NHH`?gW!G zGbi6s6hczH;(SzQU8#COSSS|$O~K*LncGFA1Ib(CbmC3**0k9gT8X?uzR0YvzOv}^ z&LLJO@9406hi4K0($EAR#B$Vd>$ziw`y9iOCby--ao|*k(zv2h#v6)}`XMe`CiMFg ztqG>*z~+NWsH)>&uX+eEJ1Uf~!B{@H@nerNX=`m-x>ROuFI?;}yiKCqEm4Bab^TR{ zfiPw|-M>872RAa!a^26UlcoR0|10Q(3+6D0%i0r6OUb=Ks53fE?q1CV99m{H zCO!U6RMUW{qIsx1Kol8Fnu)!?H;&D)h_2|+ z1uxgm6=>zAN~861q-^^)?no~=-h9g&XouOwvG7yFrvnpc8R_@|I+^>Wedsf!nWRwU^|D+{J&d)PUl3MOun3))Y- zmP6QKbQ+lixG72}BGzk3^Cv7&b+wL_*3&i-W)ewS@_0O`y=}3!?^>fp zUx^Z_OC^X$J7|tFGb#5LZj%1Bo1<=rG?6Jy&ps4+3$5|kxC4&l^josP2ej?RpO2A0 z<5iRuk(&*iJaSot?u*PWYq?=jyIsGJna4EnWVJ?2*3&K!CLH$`FP(GRN1?rRhTi@w zTH>1~<{CkN7fKM)3QPE`Irt}r)#K}4_~z{3S>XM2_*HixJM5ULpz>&Qv%enW;^yM& z=A!7ycs=Xu%gi0CITZmoCFa8FDhgrTJ90wd*He9VoSDOcKGr*c$%WF9vSyq<27k6(3gvS;AB2 z{^<7cmtM(NBP)2Reb8lX_Yltw@k1;y3ZTaL+?sigvAprR=a)rx++S@FcXmtYrj=*t ze3SEGj@?h^_t6ltR`5BVjI9}X)%_1K#6jff#U4A3uo~az4V-aKbI$&qEj%4e-q+NWGVw?IEF_dgJgrDkVR$54MN)f4B4dV zB#r4V4*X^qTPKFp2cwg82`u=rcuM2fsNAqsDtzf=J)AOse&y4E0aO0%Q$7%zr zeQQjq2E#A4AlB%bjJpftCs--&IPcmX+i35g(?L-m z&0+K0pYEBvL?E;bg+25EHU9i+8mHmD&6Sl`Y2_C$>}?(b@3S?LT<1zJ8>Bj?_ehaF z4A$hW+`kn>3Hvbk+SJn%N@jC7xpF+`+ck=X9Kdd(2 zCUQ=&j)>%4zc6KfZ^!6C=CeDPIMStn`tw-XpZUBVdd*DP&)VA&!}(SCg2$o_2hk1zO8y*?JNr$& zD55E|n8Fulf)=7cxsXv1#g^4>Qe+YC&1x=zc(|qt0>tp3HTEDfR>X87-4WO-qt*ut zldgqFFAq7#CET)?lgrma1y94|V2bocwBg}XQsKqJg{1dXhi!3Q-98ma8+IEcJFTd; z68FTk8|h-DrkM);^v*T_Zn!nIPbXnHJJ?*^HBa%>9D!a~AL=MMOMK*lgc8NV6v74L z@_3x^{%^g?SAT#dRfKt+Dx>RRL_%0}vOUW&Lz)*N&;s#z2z>%S=yHww?}=3&vpOLk zTH+6Av}k^-tf1(tA1275Tu@ei9$~S@{7K)w?@;}zfR|Rq{e~e8!1XSE8|Fp3<#d+0 zjmu8#RQs*wSm$l5TqI}ah*m3qb;yJ1U>K5B3OmSxK8hpnno^q&(#3GNdVS6ld#D`r z`+U8=+p;FJ#`ZG%%myDL6a6F0F;cXGO!5F7Zrsn)JXxUUTkH~l$HMS@ckQjzo#{$X zY#g6hTQe)ECJ4+F5Mm%ukd8xM*%%ouaC>vN5l3OtE>vKecA^>iqA09#U^5lyirRV= zMlnv+e$HfhR0%PDgEBf=sB1tCI*p+D^j&2-1DD|{+J0?8!sp7SCT~K4Db%w?OoHbU zgRsj_EXiuNIvPXGZ>0CIUSlbQlS+RW8k=qKE>F)r3Y1V2C_%s@RGZmzXqn~>>@zxY zOv{)OX!4{p*9G%JGrr;8eymFmV#6r@Nu`><6&?^UF=h7JL{Aq2wUH%RZdo(C3egkB zKsm{%JIwfZxh_4{RKd}Gt%AD{ON(=gu2>Q$E3Gt8v>}uLroC3us4PX2pIBX~@Du~J zqIVE|Xt4JMh(bt1=Fe)dVYj0ivqC=!TL%v^f={%E_ik*CmgV{K%#J+&<1KAPJCBoP zs5WmN15=X3e5*6I-kgL3o$jrWo^n#q&ki~prtNcZ zqU}>@nPL~xfRXi&Sq!MZg=liY5~h~wlr!EGM2w^X*alCQSqrtWni1pXZ05l_Jek@p z!tb&bZXA_^)DpApw!ks=vhlQ0z^>s04j;IG_cr9DgSz4qPIf~TneifV7vVTu?3+=2E=#`kNl#qh_GzJrBS`d$ew znszG-SQ{WXHwr$W==ehFI@E^l4W!t#(JUJ_HoZ?G5I=y5&tXKKH!cS(-S*%+fCX;?PoBo z_rU$Zb+oMGV@339$-n_l{SSD{DLQv>hYhD5(J`HiF>FteJKeXn`6zrG2Pi5{8A4t~ zz$WsT?y8#Q*RGOl^Ohmw(ULQ^&2(9>1%<8!@;{3`cXH9>qx>Id$4l^Pn*u`S4q=@g zo=z=c`SEN~`o+|r&jJ?t2UE^CNp?bv8mE=7L6oQeQ-|W&`+wD;it|!19}26GQQwQ8 z#aw=`HdO7QokAG{2Kch2?yJWqH3xA1c!RI8|2X|U9fX(mIsfwcuZoCL%x*0UoCsz2 zE)aJk*274Dj#5;LtPrD_ip!)~2-Gnnj7g$dgUPTrlhd(ENNltO_5Fksr{)AQ>bydX zZ{Vb|n#}34RRi-6+}U}5{%&Y$_OT9C6++yrAo4{{>j1$7WKJpK+f4@QBv2~MoAGH~ z%+SyOBk@ioj0*q7yRJD8ue$w#YgEIH}N!1l&K$kwn>Y!V_7B!Fs65# zeTvz-o`fe|6dQ)@iQ_~NoxQV zq>=wAkM*`8#58AsJbYk61(j|`Fv2~6f-XyMAS;;v4JIM;vz&!BQz~wtyc2pada+2y zAj~S=z6`5PJ*yx_4=Pf3D_ETtpSuvQW7bAgCiV?bOmA#4gcvL8OjbkHbpH=+ie-5x zo6{W;X!2`jg@Ilz2y7kVX6ya~OXBN0l_0h70~(J*NIX5)z=cz& zh7oY2i3&?NKFQ4DiKpLuk;S#6t|nnqjafp`Hr#Go?-+gRFw{0S8?OkOi|HeXbQK81un8$jHW9^HCs7a4XRSMysxsa`D^}7 zP>`|?q{;w6h_dIBKV*65nK6Q5wt=|I7aLiZxhiM4qDZ57X=zObe5Ej1fvT;p*0@!L zC=7y4z+Q_sdcpKRN|gmwg!yT6oHgDUJLngnO1izglV;=#6sL8Q z(HIe~7O3{USb(;{?d9ufOwIOT4YEfQ?8a|)eO^Vkcown>>=L5trkolHdaSYl2o0E` zQywWx+98k8NN%_hy)dz&ClT#slaU=$cV+gW9#3Zvuq;x~I=i7$T+VXH*MVh1J(69( z9sh=$P*U=p7iHx7cBLWbSLg8N(57rr5>#IWw{Ta83T7~2r+Z?38{c~QSOhf7@4 zw==}1LT*9FUUqUeD>o`%mmsz;|0nCzadVSppD)xON%|o!Y6tKIWNL*Sqg#nvh0{*d zi1qveEE%U*iMt0XYQ7$Wh?d^92h$(4IZpXMs;kB6A)xG-RLAa()7m^(HIzpj5eSB2s=Dx+XrDa z)J6wWh+Tg-NL4kx=t))rQBT-sPnt@>_bPI!*A4DM9s%qs=8^Z#9!o}H5ELb}PF`p8 z;g@=9%gXumGYj8^)gFP!SMV(0i=(UY?Gc&z&D(ht&(S^wXhnrK zvdx+KA9Rvi0#%x|+bhaUxWbkSAN6S_;#U8_Io>$=bm zcH4tF4(G-@?DQvEZ%P+*E8)7RRBd6(6e)@Xwbb($^Y4?JFkEi`DIkxC%@yTBC?tJr z2)2gnhspso=%DOqRVnrYbuTIkjw(t1I1qCO>ax__S1}3B)X!1j%Qu4~TEalNNWm3o z1a{$p22;*sI=1Wk>l>PYz=1o_mV62gGmlAyNKQ_oh6xC!Oi|5y{G zAzMSg&w{F~I+3{d9N9vqvV2|_q(K$!U3Ma@wO9hoh_cw|&aT%DeNz^ZE>qrTx0kcv zeDviPUuTQ7Z8g^pO|8YGF4pSwQ&t5|oI~hf8THT+t4^*VD@P@+4|zIsUKd{cEjY1Z zuL|jnB~Y<1w7J){9EMZ%f9D3d2IT8QDv4WAcm=8D)g~LYZ~qbO15b%6P|_Zo7FI&M;VRBKaCPNwYOjNXz8;&=|;^eONwUX?gIXlr~H;V}{}QjK*!vi(QlC1bl+SJ}V7zm()?kl5NN zdDT>E1csn6HNA@4MePE=k9b7F*8ki5cOq?N!Vbn#+Jnh@$(VbY+rA8`(nLu>{qKfG z)=zhQ3#kk|i^U^RWo6#j!4?v(f7+S&%Nm(NO>;hXp+rfAkqu~;E4$+Z`7o51d-J`R zu3aN2Uw6xt$hn{lj1we`0c*u_HEVcHOS`-eCSE0={wvnfAzs4&sm+|HSbQW{@pB5iRKrjK%#jYanLMxoeGxVRT=$q z1a;IG_zj49&6uO9cb47ahpP_Y@`DlIagK29JU!WZE9d%r(PeI6qi_8R0o+)$9`vNfe; zkqj6>KdhXYTmIuF0re<`*DP7I>(8)nj;G9T+=j^s%mfxk6rjgHH*@?(UN@EJqmVp$+DZETW)ERxHDwEs|ugt{G8uDekBbv+w0uqYk8AVwG?EcL>z%%Jsl68 z`CDBDXp>0Kn!@54Z>L^5vcbGtHI`wb$}w4_fVb~&&kg>_FM|{@)6sM5_>xQf{kI0+ zm)-lmwBj2+HubOlD5)#(O$*O)-Shbp^0W#N*d~kp<|&R3YbEYizI&K`uE!rvjj+cO zUELY8@J(#44}*vz#DwdO@E7xgXhNqJtsjF-fF}+ns9vRrft&X2V|fUTt9XEe!xlW2 z)FF;p;NBNRs{O}q4D9aUBjva0d8d&2s46D(isDlWzlp6o2xmhmcx>*9-5+L=JS&xx zRB$>fM=+15^ksgVd<8m35JW3jPNbM@!N-FWYip`4qto~!lYST45oz?jXg_H{udR8_ z0Q1acR&S+U_KE>p&`!rQLH``}MqJSu2KCtG9WSL5_K77vcL#aIQMwXQIvPZ|=v3Bb zA*;QvvN8+I`9;m*=%gHac;fSFY(5%BByUanJTftxr^tnQ*Txr5Y}eCk3%gIa#`pG&bcnmS08qLAajx8R~31fgSs3p44lGiZU8nKL{Kv+?!xdCX-) zPmBr8j%xj}@IzAu4YBd{)JSUJw@oQ&38jtJ$~vcpX(;77&50eyL$eI#DPBN>#qY&o z2OX6X*GX$FYa7AncwJ^IJ&=;s$6Sf%qdf_+ad33~y{byNp+R#MR%Ub-#X<(+4nt83 zi=8wXW{J!xQ=i}2)K)vj>6hSmqCz!ZekA(SVK+Dhlc&k`uIvk@>;u-oXoGI@k3ttQ zsA1ci)*`Eg%LT3_gc$lb2?XXP{Bp{BNsWr4DJHAZ$;;GwSBXqq%`A%qU}$oHF>hK@*QZW zO_}y2HA!f8o1sCvCOITBCmLKQH~D-P+NpOzFdI2tO7+IUoi!E4H+7#UE%h?X zcn-4c4rP(E4H}!M zNg5Phrt6pNDODQ^^ z5HOZ6r1}~+WIUv(Fl#==s?7tLQtz$zxsJN7u06N51M$V;pQDhzt! z9LA(O^JLW>V(@lJ;-#_qQpiQR-0&eSc|sDc2uCwu;)zlPtiwzg7u8Aw6oq?D<;Ce6 z6)vGNr z0UC0llcp78VOrEuHXmIuhs{$&ZX7A3Ri%D}4NK;O^sdF?XzDqkcOFT*m2W&h{nF#% zKlywfw%9y?XT6cDSO(wsYtiS^{d?i(>xuJ3H?eWITs4JT$E)4lL!P{)j&P*(*0r=p|2a!;-N{`*eIyFpfcLc{QFJyzq)u zv^po(cP|weWR&}su3HZeAnBT)?K8Z;VyY#27?fMOg77>H@kE$?0QV*cbs`Vhto+@G z$6gYR?*2q+A3iwWCDn%_<&&EyRYN+O zig>&t3ED=;ILbx>IDYBY{>jyki5Qdeh%U`miJ&{E_OkA*X=<5fgV?8oF@pi3sNV0$UQ{GciI8nL@MUSJD&AGPnve`dwY@LW=dY zX=QT}wr`%oF75Oo_Vx&59ItaUX#yI%kmC)O`Ap}ej#Hq!b8-ADhdXC)GON+=u_&(YC-r|lpOeCgTN&}vqukCPG74_`dpVADT- zD;y$}cDBSVb#+;S77boqD0ak&dS7DcMJfH#Ijr8-FNjieh|+CUe&dp_F4;3gk$&`0 zG~*557lgyY2Q0A>o!795KkjYZv7~(^H#9iLt(O_!!1HE|%Rt3aamZ_Ap01;7Vp^ut2W4x@fIwi|G=?%4boO3FFBb3_Zb3L0~~ z-&?Tg%Ovgc_1k0HEJtjAzM;i3zA$U&rd(>A<1zH!F@ICl{m0wPQ%35@jQ7q%JBtjQ z)`OX!gk%)t!?}=(nAli!0&JV9rzr8aG}W0go4+-szH& zXyk(_=KLD;N_BC*{IN%6-(GZ|r*i>%-F+bG{r#O%hY-qwd5=rFUTpu9csw=AEGso< zuIQv#@pUFqpRWv9`5=l}uC3bM!0AXxRZB}wOiA5x!Ie>6_{L1@X8(LR(r1Xf6?gj_8Tp`ycyf5kD^r8x* zFH9toYsj4INPUBz`J7aD4X|e2sp= zC_7b}wr}2dL?O<+b}iwFd>`vmL`RpY;28=fbkR4Z;PXhhc4C?KVHGJ1FH8q`p{#TT za zX;=Q>lRdKQc4G50Ji@!_muPdq>z4BHgyPpl)JZEsEj>U$JQndgM~~Ksyf3$xw^oOE zzUJ+erI!sYVE}b1HIXXnMs$H2J?S8!2tL!{hn zb;C=5`LsOy$93o@5XSS?A3Ha5yjr2`Vb9FqC^22=N2%|Gwnf??|Gd(ZWX&V@;n$7y z7a5Fag1+n!BO@di&6lTj=p`*p^Eq0rFuHwQ0z+ke@^ zHwIk`yU$!1yE+T9v~7>ZyyYx?%Q9@ED$A3VD8L<)f+J|Z#Au6O&9UvX|hTw$MBoJub4H1ferEu*zKBuUI;1 z8W{z3Ah)pre>CfWa;&feK!fI-sSnlKm6jW0SEXzjA3CLOlf7h?B0hD@n-L6h+9&lW zT7p1PO*P~oT{Mm9BJKZS9LFsDiARU3G8 zOJnB0jPo9LLvZQe^M_!rs}qL&n*>$)%^k`SO^oZ{Yq>Qt)`>K;ZuwQ}*XKx$9|AGO zOqIv>nGAv}P1~9njMCbe6YByw)IME z+o~TlcO+V6`~~G%vtx2az1c{p0dv0FlfD{$25HWqEO{v%Um4YEE8WB-m;^ zO?^X`4H!@fsQhWXj^Y)oA#+ESA#hl$KhLE;!Fbvue;lJ$)JR~eadP`igg@Nz*J-FX zorb1{OK9xZe%MMjow!9u7TvTWt`lrX*@RzT{Aq`FEGm7V320?DBk8T1tNqn7nPeFU zhjHymOuT5}c@CLhdPzIL!yD=KO;0mz0i%7`oJJkg=>M#EChNiTg%_T(rBM+TTI}mV zje9gkj0l8;7&K!ZF5Vi>J@sVRtJWTIb0K4WFk=fSu?-(w(70EcSvZ)+HJpR_qUsL& zdVey9r9P#vcmL($xdS~&UDnhVZV})?U_=U=L1anJje|>p0;DfCauA%J?^TXB_y^~V z*=4P)azYypSM|Whq4JbT`hBRM!d5<5xcW_K`Lj6fJVIBNxVRqCdR~-F;07N4@(+Tb zn~_2=P*!3m2@)E!7lNvaOC)cj_+LDQxt)?E6-%lz;Ya3ZXWv~T;65#=ZCY&)*WOK! z{G(sVPJq3s1!4<_?w#05CwD;L#%&`B>yO`mWL@SOV%`1^iN?d1pJ-=rjz^PzZsh>4 z2sk9oYwC;-N%dg>h3`Y{;=-P0&Ew;ZiAF;yFn@PiZuEOpZqs#jNVS~0l~yW;7FWskO>oqKREy%UNbKl`e^goWXK<;> z=JtbVgEPO%_G*rAqWPf&0MzHO`^bBH(_suMhgx`hh+XQ@Z`HqqI+h*co=89`YTSpT zDhtKaS1L?s^UV5Kv`Z)g+G`Z#eBm_UopN<5%FC_fjcDu7DRU!#{&H=7Rjq}h0R7Oj zeUAjt^e!-{kB>99Y12F)B4+y-!Y4@vRrhWoFSAnr!Tu1{qM70QtAwOQdl9&U^v;fd zwr5ve6p!!>ZLPhq>86tjN3w_F-P9gSXsa~f0?X}VE&^|9gogMOR#{ zW-vkMRVQt7L-+t)v-?sZ#AWey*lj`cS9)S~7)vb*nIao}oWI6ZvGTI1;2L}nBS`**m>y-Xkw7^lWZ6ylCM%*@CACoKTD zz6velM-*qp0wS~)$g^}RiQe&@<`eIY392#=1qeLq2s9q6q3T{8TT%wxmz!^LX4Fzg zH5spHRJ)qs-&4qdy;xQ=6|Q4j&5r-ZKQGZ62*p=WTmC+3F_EG5g z(be*x3UU|Z@E~s22&4JIv3DBBLprqp-W0&j+bsV|j^%?Q#fg1(wa#fKLhTff*dO&Qye+RVdH+!JX zK)P|soSj{=Q(wS!kGu=&Xu6M zCm9)Yh2Bw+9}7rt(kCv$W&THUB9wdC{5tHOOK|;!d$TxP^D1eoIn#B4Fa02RFl<$I zQf|0Ctl`41a?XW6|Br%K>DbH~f-4xdH(qBsJ^v4;6?gX5(uDln!g{_PSSN}aijXh|Nx7NyYV{Upf{HjXis z`(JX?|8ko~RWqTKkXa{=g86GquX``Bs_qlc2u{yr!vPwb;Xk2o{<}irF1)yECF^dF z?N<#O^G&&k7ldtNrw;->jinD$F-pNoQ^nHJa%xRbDhg<=K$&@}BhbH|V+c%u0 zrtsJEnruLvW`k44QxQw}5Rt&acDee@>%&Dh+G$=t!Wt%EM7G66q`_ItLHmjb{aER* zh(>(W`C^1c$cp%ebfSYTtHbn2u{8x5BZNc%6Mh|)O9W?o!xZ_Ijb~$hvw_KJat%=x zU3q)ahV^?%nbsVGu29QtCQgna>9UyjZ>|*HMw2D3Kc&`GZB0Yrrj*Mm zn$cZoJO`Zk-ufmyVql@W-*o66V=`ar#@yFgI`HMM(SBB4*&hUQ)gFtv^Qzv(Wpg_jBbJs;Nu&Qx5(CBW;eDD|^@AtvNg8?S-ZYrd+xX#FZ$Ej<KjakLK1gDL23BR#dIM%+Wh<(?mZ-|Bm#O$jqnWCZ|G zE&tT8D8;H$OLblPyN+870<8NUQ;C}cT8jEY$o=G>svFE1UJGK?Sls+*Po|DlhW%`$ zC^CGMTd`lVmw`&Sy20g+kpP(v6e48VZ7&k+k#bfApXWh(h=M~`JQ#`-@(2C{5?muF)Xm`aOl(7#`as?)yt?{QRs8!k^+ro`&J9)5aT7G38rQ7G zWH_d=hu!cERou~+%_#`a$iV;?>bo5U%d+0Sx09yZ>kE^yWheEuoGz_CVHC_xBYX^N zbC#*1)i$|v#|c1ZX*0xqvrSYQrehx5{rQG_v-Tt^aVibQIUOT<@`;yxotef&oFZ^R?8G3nnp5{!)FPSJ+^*9+Km#VNF_n=7T_1`m&>2A21hdyGpAlp2 z4rzG9JC!eo7%kxULs%oVi9EzWM;b?s>xeOMXv*AbMsw9Lq0z`fp`l6Yy>AK0k=sfqw2GtOKM6O4xodi}m%08Hc;2wX!3lxc zfSjtDJx|1sD7pn{iMWLqzcRkf+MWsgczF6zc!PQPYWiA+(7@=^nQ5fQUCZ0mlP?6_4QwvF z;+Yg>UYXTO$^qbPu%eO3_SC2m_t?;+(kEh-~$&H6d7Q@IVIR6s|fEU)4`j3 z6CQ0CAbw^;|L$)45MH%x$Fx?lroR6H2dcCD@~x?Ave80|gJ3K`Plm3V9=}Ki{+c}w zbu>CzK&3>MHvlEZ3nc9hyvqZ%ilJ11$lMgR(4-9>L_ti+jg_s*<;gbEyab~cAR-|H zJaJ{F1Av*#5Z(oOIyEaJL+^D&l=-LHEu-{TBrqpPg8`6i8$U=XDC$+bjzi+*6e`?@ z5I8oX@UdE#Zo|BWjad7`ANYSWpRMK?Vc&|-dk%f+M&>lHTk4z@1o{R#GB=J$nv@$b zjXJ4s@CtOoinU%~$SonRj*;Qq2?noFP}LSrnt_j}Zhuu)(UndPftdJdds~eC6ib|S zvPtSmO%T??I~fR5=!AZz7E8i!PHw56E09hlDcH?RkPQO!WXOZFKh5b8>=raP7>I5D zh;P6T6h$;9ljzuST!%Ts&8Kq?+AneFfD1zz4;#!GAX#tw*whcuzza)>AJ;l&3$d;z z#Q^k%J~$!^y=E5i8-g1Q@S_}3A$idRW#BedTe0ozjcQ>{8taG6wXXF{HeU`G)^rvB zc26MT&Uvju^S4DTs<5E0N&7u##@g0xg>a{rv&C{KdQ8qjt6OkSu#W{*TOurti9EMv zNPAeara;Z|W$MU_H=c0pIfxv-=8cEi0;h(g+=;WGkNNBGJm*$uGp-;`nacwD!K(3#?DXyNbm9B}}|- zBDuu4Eu7NI7)PpzBPt1dTa7XE6jFz3Skggcd=6~jdvC+@JhXcmkii<`O{(z=2Z@7 z&s^EfO@G_Cw%3E)nPi4?UHow#+NHX@(^4xAsTkqEbn&7MTWvzXaH^}}=r5gA?t@7k{FrrDjtYyx;lYQQ=Vm0iRCS1#LnwDcP+Lg_TOK+%zN8Y zb^%<{x>To7hf*iBds&NcO8XcSofVk@I=KtE>FOgri=OH2QwM9+izh^44T{fK<8l)A zYBm~*&qjUa_8SFlx9u~a^s=SRj^F(2?u-z+-Nl#VDep)DDBgU?}fjHc4spr@lVNebziRZ zzJ8lAKNd;S$9u!4)1%IISn-oB&x2KLdYmYH@)hbJc`{?n_NVvF-($V)Pe zzcpi-)YPVUVo@DPU~QwG``*`EWfy{p1w)PRnkeoZ&qf&MsngSZ>!O#)w} z;l&9H?#OO!CE87I`Qw8g8nd_O`$c2cM!=2TkoE86n!E6cp2t*)V5A136mb?aJrj5@ z9p{ZT{Eiq&JD)#mF77D~$9wu^ggLK3y~g$_3$dEl|R)FZ5ejg;Ej}LL^v^^({KKaY7P?OC8w@P4NlkEacV%8#s=`tnp|}lpvs8kIYiQW zsK?j0R7O>Rj_bm_Cq+)(>+~3pWN4=n>ohM2Gbe&T{2)nuD~Skmy(AwB5gm*Au-<3f zpHNa~^DI)|;sZ!mOT}Um zGy7rv3#lgvcf06&#)0jzpiN7KP@v~|(+;0I`P)3+1#tAYom1zBWtltDVTN?Z?;wVA z+LxTVek!p*Z+bzftvh+}=Dk@slcYVWv`WP&A6AKn{%K4tk6esH-&94e3$QMFMHCq! zwiV4iaJjMz%62mKmmFqvT!qkED4TXAu`-4HXu9>N4nspdu_8;GKl234kJ&XiCERB4 zeuIo5%m5VT@C~Uj+}>X&jXq9T)dI1_*Eg|55%_;!<)W{(5t{g(@e6r+5yFKL|@EOQNMc4{#psc9}0CtWrz>N;nN2A^G|+HsK9b~|n(KMP8H2~Od!Y5H^dB`80p9)qPpU<6}oz2M4 zEJLJs*z9_r33n&`ib;Bh*XU$t0pc4kcsY*ciG)rmyNY#{^4}h5_WPS4ThxQB18pUm zISvA%QG}qZ@uJAkeV+-P&a$ulL4Zs-eQ+T~>gq_0;rM!nx5uCoy%mZD3deCbyn@kQ z4G_S})A5k%p%SV#)oyPF5#2j#1`YF`g#RM}7MsOWlG<^vnVhAmq5J535E~RfYPvFs zAkMMFyAt{z;lL!6rAU+JvlCym`UqZy_=L%LMqZ6P87u|{NvDR@!KGuf28TeUJ#HU9 zotEf{1e@Rq?8=?f9jhzzP_>tTV+Z3{Knm9)k<;oI>D+H=HB=M3932Ga+eI9nZkgI- zr^)%CsHE-N7;`L0L&b>DhhOeCzHXq<)79}WDUh7ExGqI?A&jgyv#%3M@E$Uy0cbqH zBN$e-G&N;c`PruBNB1ci8XviODVw){+G9>CSmjt)k(XUw@~$HHQk~AmO4=Ov$cx{g zR$AEfFkz1=Z0{pmxOrmot(e8Ntp$%?%{rN2a#+NY2S~hhvX!-IK%-=e!fA)xgDrq-yYfVw?rNdnUY|tBUdP6xan)1|8k-nYG%bK^rO;3 z#>dNvpbYItaz;q;66}?gJ7}@74DI19?%Ab_UvB-rHwm}{6V)I7uylh2kQe__I*$f& zr<4u+{4vunpCz0!oZpEUmx}{@m<2;${^^CQc=v45^zwRq(b}EtdAi$BeB0eu!Te}= zeL33dAKp6Y%seXD*M0ZkY4@{dkYodrA+O$dmEjWM-Ld2{=)ade%76#P%W!MNT%TN* z-p5;vGk4lX_+o{rrZQ&(UU|=Yo`fXg^xWQWX5Foq#@z4E6%@t+Uwxy-bdsSx^?$8bAk%d6gT0wt7xZ}poK|Gq|4enQ!u90k1btuW&x zA-ByM2U}JgpE^YC!oPMJ_YmqNCXFfsWhDv+0YMrA9biJS+vy6Rd)!u>?Q1WcvADKP zTV>k5l+DN&AEpvZT+KS@Qr`SOJW5-{=zn;W$x$H^LrcrD)jof8Po?f=LLXt{>TYMa z50{eV-7;2N`(D(`{PJwrr|Y5<=y)tY`Ij6PSe^{r9l zO-ax=WC_5nEWWvXwpie`JM+KqKVx8S6rn3M9*E~Q_oPV|Wn!Lm2-*BAj3r1>)#GxT7Fe}KV|O;!vh9)bJ5;!&K(s-@(o zQ0h(O{%tYu)aVe4y+!}XJ-xUPI=XH`m3QQxn2`oLsr$%}|1WJ~=CP>g^OX0l_X0e^ zUgzW%G5O=BH{D^2@^(J35UnkJt!`AJ4H1GpzCMzb5P$ehohtDfg?gN^nqa zi7om%)#YB_#ajNB;MkXWk-#$B{i4HOq`H)MSQWlvHT_IC+(Qf#LfLmbYWFVb-8SpOd~|ISAM&PQBWjRNzZ1|(1umR6}f-N=O^kR z&UR6@5rlmiD?}@)q%JJtvH?OoJuuo3L#gMG2{R zEj>dgn9dkul^9`iQBRpaVDUKGpk$^(!_D^Wa z4DbprS72&stjn7X1-`;~F=}W%vmaHRXuC@%?9fDjk}r?cm5dt-;lD%pN(bE@aVdF& zPluX5&0~rG`$8Z?IF1b`+tNcIQAjd1Aj*_01R<&P{od?az?u*y-WwK$BmKlGsp);h z%;}%CmEi`u0!#%C5#{<+D@vGo`0(L_^I*@9Z@R+=KOO?UhXWGLt_DJ5WKm`L>nF*s zwnN1anCx+z1AHS@OX)#uBvpJct8Vf#^Tearm`E<%H-8nq= zrbPl4`_X}s+-c?;RD0cLj)eFx<#pglaHsZM3`K-S#v}oj(pidJ4UdPCS05Yejhv0v z6rrAzm0jN_3Qo&tzH79H8gRNb+`hiA{h-!!q&pxCVGauRoVzU`mu+r z`yLOzBktVU`a(HAqL}c835DSu{37aeN9U4_y^;8ESRdYPTTz(cG7%|ZLv-jc;K`KE z+O<>x&F5;QV$%Lqyh)sj8sQNy?-*Fv`#9f?lzKJs;F5F_c#jSI;WsuX?~ABR`@`Vd zTgND1Q=5O<@SD7qM^{7t$;#CSIk z0l^sxb9kEwrE1rf4qnm#np;H90w1M^QvC&1)IuZ-RU!snw9^1m{RKf|z4WkkJh}3H zS>WaQ`~FGgKfEks9No7El32E;XBdsn)vx}5K-04$zD@W11!Bc}_k1N{h37q^Zz*7R zhw`7X(0}HAY#x*7zM+iFZxkWLW|`XE0Z>d?%1y7E#~aC|8+f{JFZ)am+AYuNZ-4Ii zd`mw{<8QzD}|pUiu^RwvCq$yydU$z_pjmrxlyn z$wHRciB_X?mYk}4Nm{AGz{>O@f2n#Qs;mVhW4D74i454*DdKO8B|N0JoxTpNO_x5gxayD_LXl@I3jI8%zdXJrTKK1n9pm8hLV^&%KqIK2t`0L;5H2_< z0OUmmGW>tLn?_KeA7Y>`jS~#I$Z@#fr zNJTG7CmS?=!W(EH1#B>{C@V-|3_LN&E>y58YP8Q?z@jkh3D7`4f=ggIYSDWg5!gB>IOyzu^C72XB|vrdpxpEYkg)aY@sC0dkW#84RNX8-s7M|b zb~z9qWKIU@8UYffi3C-degUPl@%`5wH}wDP;FT7%5(JtGg!Li=7sew8EjIptJxyHn z0z(Eof7tZu+9c$l-#B1dC}<$J1L2t!3D6-5DY)>bwL{y8Ipn{~xc#p=R$M{b zwEhCRZ4dpA83cCgfCh3UfT`;Ebj+2YvE{$*r=uSKbr==ZLy`lOv+24u&SXCNi#{F% z%VYe|nRY>fW&()xmZVoiBdx^Sy{DEGbN=;aB-mfC?+j{ZrqLB%hq1vA9D)7Sor0u? ztveX=+xvu|xhvEI+%dQeh0uv(wi~$mSdnrFoJ!Mk>$(C}_#i^8N5NEK`MKMj6Xz*% z7K~H1CujQ3*LdZQhbi>OBQR1Y(c6{a5VR^FLLIJwQ2?=Pa~wLP*if$50j)KldPc5` zf19Lnb&|npf&Qe72i~v`=^CjaMDyuWAd2-#gF0|;a zn8}JEKxHG9_uBLDN#c(lvB2t5R-Cs8uecs6#8$A{;hD2R7QrX}thNfL)2!H;(zIc# zaw;r-Q3bjk&eRu=;TRG%SZLG+wY$|0Hsy;KR|hOr*%^80mOYfG_h>Y=ph`cf8^`{F z)qXTWxIaAQT_vePsmkB`ZVUQ(o61j~v@1ian|Btb_8LSr(&;(H6Q%M}5*UM-=DxHb zs`Tj<^t1Z?3Y|W~VnD-^msOC65?>+Er9y{?TLFx;b||gMHBn>HR{vniUk7Gh-U~Gw ztuWvvsHTWar9n>`UqX1pmB`KJ4a4L}D1l-EV+tVt6*lY^u1Dpw>%+AB<@?3Sdes-f zs1W%0_c^H2R65A~Qs4^6sp9fg#r)A?50^tUTEAuX{B5on(mdFOb;4;5F1aFyQ^kQg z-vMxUoLsUq?w*?)J_^JlP}tD*9jonj;*KZa0ti}&6k(98k?|iI6Xpu}Flj)ks-v)Ev)$x4`t!@t+Ymy>7%VzsK zi0zwXx*b2+FKp6wjM7x-e?Ig#E3n^ljgP6{Z+HGxob<5&pi{KA(K9PTV_p5^!RJ1I zf>H$oAM{T%cLod2eKFCSO5&I?VEn%Sloq`aIP7~c)D8@(m`xevV)3yuC4EK(-~EXi z(6>>Qg<{-Vcuj`RwB2J}9rSj>5+vj}z#l05`nL*}{I%`5$@4P3`J+r`NC0UI+V3(9 z5duNk?mmoN7JO?T$7i&5*kR9tMy?Z}2s>7V*NjJ%4EM_-Tlfygi-HA%t7Wkk%}1m5 z80oFyb!xSz=u>JKV?OHNz5Pt8NWl|gDCGnhy=Th)cR056gx%=SlqlexTu_Ut!so1-^LWV*s4QYXNZGWO6S#*9tH(<5F#qPPCzvs&wyiG<ZoAP% z)Ip2`@9BZ`wh&KQ24&=0w0+U7y{%)Q=}R?kB3n$kwm-xa48-?@nL+QZMpA9JS~^5w zh&`VDOT%fu%`p_|^+X~0^wg#HkBG}6>4e2U_zdr#;*%RM>t)xc(SIRD#D4E>7e55^ z;tr6v^WLLuAK4(53o<9a&b3wmy6Tazp)&;)DET5!%boW)Dq&TdtVvtqdQWJTDPXUxmjl2%w+bd4J780u)VbO8kwc7EM@x{oEV>Fv*CS{`hE%p)oXB>7r^u~(f@+ztG} zd_#V)yYu8R#Jsq{dmBsXvcEGF9)sR^_;iDfxL+7LbQ#zm%EGomWpc$ z;{EO7 zqz9uhK87m>p#PdDFkvIB;rdLT`L%4_729GK>EwM>@4z@;T z1EyaD)KM6}_#3;OwS;JA`EG4}_jtjfSW{d$eqL7!(HU$oQN7m!j|}=1BW2U*c`6&B z3uIag-!U>aURmfs^6x0cDIUp|$dQzT)AfQ36;f2zC61Qmm@BSbw&Z45%1B_PsXymB z%~RMJA66meuV1;Kgs7egt5w%n*n%nRjI1=0`hG(rER&f#30PJii z^Zm7O3+m7QngdC_Ou%KPBet8-n41hF1Z0)VuXovUL(m6a)nAxUj)$+YPGN`P3H0v> zW)6XOkdHXG@xPeZ5%?`dCE(Vru*;Yd^@q$dr8$E>${OhbpxX^m-Go)^UWBdg%kCk( z{<=$L71nGYLFgV$0KAT0vAZb*!{&5wl7P;@Hcn_?=sV<9)UgBFi&GF@wPJ_}jm0~n zOsruoq1EYekNU!bPjtF8P4?W7*J;ZhI ziV=H;DyjHk-2d&#kS9>)dXO9tBK8~td{GV7$TBY} z$0-wql3dZDZE&BMe_!Kx&Sw>TA)Ji9MV4EC#E9c+zTdHkk4Ge_LMBYURkmLSWQ%^WR-|2?XT$dBg)^e4!T+9?!02QXdM^g(1v_f zj;Ul)F0tAeIh)`guV{l7F?pww<7u$Pn7)Zy4(~6luNa{P(wH`!D6(@#F(t7%Qo)=DXSTpHHn%@saS=WsJYr zz)NY`+VDYNqAw&9%Pi$N2)F*gZplx8*m0!Oz_{J~ZNiTVWYGjm!lSs$Xd`}Z zn(TX#}Z#K1UEy4F!ouBU~3y`_u z#}khQYa3hiIGV{N!$4JG!bWwBr;-hXV)ykhiqQ(fKeo(zWMgi~+YP3m)+Lk;?;?DH3Z`ftic08d>`Y?%QVg;))JTBV&x`(7 zj6Z1%2X@D&iv4oa2oPxa{Or&p%c9K*EeP@PEU0i`RET-0dRB=rlfuHjfdj(o-NL7& zzSe9}snVokKW^Q(5KRTSp=;QnYt-B{5KXz0Az4rQD?6E>!SPSOKyZMk0~5BdW``Ib z*ZoT)4}~}f*$*G?c37Sh?j%Eb$KR~}5rzv%#n++LNR_}p6~Q~I5ssRs5!vF8bRjE6 zIW}ANHD;9;&7mSP`}7~b@_JsZVVN4bs>r(hmcoz9xSMgbE>?)C zGe$U_mntV;3^XZDZr?F@0vw$H<%uNX6hXQ$3L2^yh6Wj3f)^O|e>oQfzsiZnewqh3ilDF3GA++<7#P?OWb|l!CTdU2Xv936S_)qED{`|K zzYWQe+#r|4IN30-Ba`);^@^QY&|++=3S4fRB6@C16J&~_PCRjtz264cQA^{|O-&#X ze>=RO{ElRm3nQ8G^O}j4-=(Nl_96X)b~o}N^r)C*gOJ~YM~A66zsB+Gr!*l& z*q(s-m7Q%3Dpp1;v01jlsu6VG@Y1eJPjsALn-7XFh3N*nRF4QcaturBTo{j>hDpqo zE@xn^fdp#CuBjoOD%>&5z$cr~>Bvh}mZHP!OlgnBIV?@!aD|rjV&eLE0F>IuO@A?cY8&e)r<>!N(hi@!98cZ85I^oSfMeijP{)T>* zGiKalwA&&la1hjWSfHgfr{UOv9@!{N`pGG{s5B-_>d6x&)Yx?Dn#kGG0bHKFREfF|kbT-t`AwWF;>L|<&Z0STZk0n0fP17U% zqbHYa6y=^w6sidPX@}bZ>)NO9g}comMdooaj&EmN}eKc)l+SKQFNuBWOU52 zjR)%1M+H3m5JO+z*~PM!VHFhtsQ>SiTaH&h55o%HyCU=cdZ7;_>obkClmw?fBqxu{ zZ&MtwgSU%d$T%vd2Spzh+vu=qGQt zh6G8=J7kn!wCF38AV*;|ri2h#CK9t213g?7nu?!~28#dl;uvoil_62oA^&BKnSjHZ zGjy2Tf6oBs26WO!@xJ+V;Ln9Z z!N%b0?y$ahNZV7pnxo^Xbf`qP-E8&!xFh0A($-NjukXu;iiI~}+fAOUW+QgKQ)gIS z%UgmE#V-0anAPuLKoLJGPI5|9$4YZAy1YcTj z;iI)r7#ALK)=5n@cifr=#KXOR1T?RkseM^evnH$UPf#EcC_6WDMk!4N+FO3YJ?UXL zc1V-3B#XDWy}ysF=%@`pFTb?u4o+4}H+t1Jhn0~yiN9zPCD$khGwrXIvzp0f< zXumAd)4O@!l2VFgZY@f-oNL2s1q2-B{iuNV_^CQ>&#)q1V4QLuy~mqU@MWI!Yd(^n zWL_`Q+T$YsIi0a(NqW;Uu+`#VArntNDb8Q8X2PVX%*@CWn_v?Mf|*_O_`AA1IUoJ^ z?I7APN_Ba%cGz@0=wk#~w9F4mR>9W1kpRL05eZfQlkNuifurm$P+RYa7C_cD0cfSz<`)$P|XYM5ow#sJR=550W zjpLi>_d2Cr^K~m+KC*S@!tx3FY}$<+CLB;ojRJVqCc~P#<7BDJ>-Pm?^3t+27NZU= zNB2cLSKzM75e}4FHTA?>==c1PIl~UTaX9BW9uECBW`DBkGx9D|n~~HTpSR*H7PSl_IYrI=0xuli-F6t3n0K92MT8e5w!V+k9q5%RG7T6t}1v_6B2d0_6iIRmxVyC3C4ERGxXz2-#=MDyJSk zf64TpWu#p)c`T^Jzuu>mM5TW>eoAI5hV6{hzCp6-n;Uhq!c1N6@;*Ti<+dA*>jJz1 zx}5-^!;oMf!z@9l6*1~$PhCRO7yS0`rjhypXmiVcb?|)F{`+vESZR<>v+-81KcFbF zb9M1N?DG2h`uDojJ}+XBd7YxOz}IHm#hIUYV{MIS?MQO;($)Rl^Yy)d_>d}N649Bk z--snRvfzpx52gFZMpxe@U$C6-WCz!{;N%;ysDceLbLcgAd?)y^7^}c1o#QrRP=9;O z-6{|wH0e$WEo5+wvC5nA?fcK?`D|8%IDq~Sm&j>kPCVCDWW3s8Bc-bW9kW`xWK@v>|mbQQXv!lk<- zhm|f)a24I(tCEb{h)twGW=|Tp?7d~2Ez*Ybs&^!mL$DJX>!N;<7E#t>-TvPP{h^wY zyl!qDb=2zTgSAu6=H~+C+zzXNC&i zaTzY42{-sCX|$1fp<<-Ih3B{ng&}0?>wXyNS_@L0Z>uXb$+7PFbjD(v4Xb}I7SqX* zMu%0S*AmH1HW$8~m1>mY{-&I#zBoB4af>FFu!mgBklL8>xA9$eF?#zroFdDW=(!W- z3h8HNchd(C)oz=d4|}u-O9qG%2u*WS{O}XDYKCN~{aPa%668K&;kZt=;y8p=+@JHY zJ#H@Z%|W~*)S{G!yoFS9cQ22Q?((6e4wUcXb#9<%M8x6&vA1vR5Q&#n@jFj?1his5 zKd>o+h-hr6*w=N0{_9b*HgmFiq0_(zG*9WRKTq)b^gR3MIU|O22D>v2IOQd@ofDJw zvi`2#bspnM$W}+=D0?=VSJ8NbA1aVT52aNW>rPS%v)i=Sn^~F~ z`9O__=xzG=lZe*hJ&X{fhDX#J+%w;1qFkIO#lrX|^^d5XEzH_ZA8W?Y4MXRO2Qi=iriN-|OXcD}6I z420^`KoAsI`?%Z}#Az#1fJ}O1HUv--9WPwLI_eOo91Z^7?!P?kR5Wxf8IPO9k@NIt z^15V}^dQQ<{n9;-(kf}=_9%9LJR{a<9_nH)y&AB0)~I|B;O=w=SU<{{8@#=F0@4{0x7aleTgeM?=judCjX_uB2BLWRo#8xx*YxKi}mu)7{dWI7R{)F48vfE6cjv9-VY%*@}gcNKe9@m7G7sp1zIrLSJ%Z$h1Ae?jP+(bHs30S!IDLtNsCp zA!Uw!`%`VOZ1T8rZT=!cLZ-0LqQspfUA-=z#Zg0S3+pC8S5NGAF^K=(2y!hzE=Y?^ zz|vX|_Yw3lJ;;76$Pa0e{-8!tQ5=ccb^hOiHD}bj_ z36ma017>`n3@3NR!TX|!G=x$NlaD&&We>w2&37O>XaPdH=3cO(93P$H>%83W z)~er?jnuLzs$bzth8Qq=N3cV-+@BD{^2&ZqeZr5LLzsV_D+?Cf4 zv#ix5;gE~RJf6wYp*uo5sydG*ONBh15ZegN6rW@P7=4B-LrKk1?TMovM>9T4icbyIBF9o{DF@T}OF zI!p%uvp^Mcc7wFQLG`fX;t!g6FC82!s#)iMr1+!R;2y__Iyt8V{*iP$_~eGyOFMao ze~O-|g!@d746vFu>oQ6=dlc-qPC+=A&#R&~9^~|~jxJcM1@b*LR*bLzDG$82!TN3F z_MD=)UP&)Oine&WhFtZ2iPphaujzMc*5~~j*d2CA*8(SQTeEK0xdRw<3`xQ#H#OQr zo_@-iM|zsQJ#w3gj#kH*-$$SnFM4(#c-($=A2iZF=HU~@dzT|rnt0joBu-z|vQfd$ z{}2iDwGXC@AJ&vtX}$963*^$czquA))!LYsbu|J(_}u)6Ts{Z1Wg4=y zBy89h8K?!ipjR_>Jo-i?HJj+wpXf8}eF=yGBP+FA3nz2eQKn{64!^@F7ChUXpJ9XQA?s5CWZn^I`fRa*2f(LaPQeJ$7jc^i0owujxaR#xGfv9YsC2gI*872 zN6;ikZF-5~B+7Xt&6@1O)m9o#2gKj0OK0BS9?*AO{Fmu-9mh`?NSTzhduQaaSR5>< z^@X9BlXSMBxOSk%@so@UlCqamvMJC$nMSCL@un`&4!jZL01F(B65{+wxmzF9Sy}l= zIcwf}l&-l*#WSr4%C2Z$lVA}*Poq^o7t;44UD-A*Q41z(raOp?A8&Cso&rs9(~M%N z!>i^g!i-z6&d>p_V>a!Rve#4MuR@h~*K7$lRVacR!-)W%kM%wdF^HVu%BJj0Zj;ih z#y-lXjoyp;-(Zn4470hkE>u-FML5}aV)0#f+)|5ZA*`~u>+cS^Rlqm;l!a$AcP3b^ zi-mD=ilcb2a#pEA#P9`L6GvW|hOwfY)>e;c1_*Hg3M@VgA9Jxa%u*@7JC7Xm$JlL* zJ$yc$DuEIdrxr9_sivWs-S_$o{lp~10-W019tnDT`Ak$?Ig>G|&noH~P_y`cUdrJv;-G+8wx z2;tc(hD_oITH(%s(N_Wh~f8iQ`RPb z!z|9-tV!6`!ZxYgg%X9qd-9ng0p6l4+%u6Q2|IZQ3=_5f0-ysb_ZvOYf~#}It)5OJ z<%{lP-tjZ5ng%q|5~La)VKw_pL+h8{T;oF&+ToO{^Bm2tpL}9#C${nCn>CcW5T%s3 zTFtOkjNzSTyN+rV7C4J(cg!)?REMVinnoHHrqsVLHEQuV!Fj{t86_p8o|eB=Q`c%Hd-o=L zxC9_i>hn+Cu{fv~%L-2?^`l_Ox-D`^Tjb`@2m{5~w17myF{%2P{<_^@dme4{s>}+X zua)wne9X7Fl6hvfW>MJQYCU&hIN3EHBsL%`00c-4oKYhmXwiy@pA~%XNE2>x6jQ7J`;dD zCNyp7Q2|gEPW7sn$TOgl4u1in%=SqAWy zUB3H8bkRi-2Oby-~rPtbZL|y_C=C$ee8& zhJ)pVBj&TB%xN476%_PrVcj#k8Zo80H@X|l=Zj7b7oI>bE;p7@pGq9bUTPdIaB2c| za4EZS%!;GWe!}uNh@KnyEMkWnzUSPbG9>R$@h*L@LeCg!Fi4Om&Z~A|v90j7)V0If z8hAXPsaXCl$4o=z$S*=8;o}C^e;XLb^5CyDM)PS?GU~bpuQrQ`&G*(9n4*s_f2sza zIldqqEPlCDA5MRY1qosd!64XV`kPE!7yVtG2Fn*WBG6ZMa!a$sQwXm_DWvqCtma$Z z!PLT2uC9K8GKtUBG$V`Ho8gU5HkB^|nC8Yv_mM6-h<54?n6tTSJVUqBGnve?1!L#o z{LjLAinJ_EvM#Y{URibb2R(-m!WvlBNu|Z+rDEkC^ESSWd+HC2I$k_)(tdq=ak-1x zjj3K7Y9)AToxOfOKUfRCTZaLcLC_fhk;B@tLXtXD!+Pz63a+Lt|jM3l+K7o7c0eW%8c4MXO7kIRB*B zvE1BfYZ}a~<60 zDoQBQ_ITXEI(WV{fv-AYgfXHcC*ZWo_W4vi8UIxB4p(SnX+%dj^>RG&$_%q<6VD8X z&G?4ADdn`PRW;BVzR5qXD&!$^@0fMRgv>=l$RREXA zFxb=riUT`sz;SX`Rlv-h%#B#yz0BR53eZM-|qj|`~SbT@S+X(~G%NALp z#D*f#-iVM2CA`*518RU)&-4f!yZsP@-t_hz)I5kzjowc@LfQ0a&VNEemfj0$_ze$M zb$Sfi`?s?g94Xnb|B8L|$i?p%P*6h8MnIF(jIy6DwWs9jST4NuUctK3876}5lIl;Z zq`M;IP~#gia%t=e!Fea68ulT1>6>gxf81a!XFX3i(cljzStURrq1W@rwEtfsl`vj@ zqC`^bFF)kQX-+9>A$2T>;%Xsgp_hyOZCTNgWrk0JD9SKk!fc`@ogY*nAaYYM=^`j& zt%RK0;13~q51#iFV`Ri*T_Wm8nmo>7`0t%rP_~s3Th>0&JqYA`Y3Lv=MT|I}(BVNu zJkp1$XTPRD(kB20f_5gZG9GD>y*rhj(^`!gVI+kD{_$8;%hQ}_$y(&y@Azl1&`ROJ zFx%*?-tj5#xoj{Q9%1f3$ZEd|s-lW1(V`*qrAgx6oCW!th-vODK~O)F&U5ElwU1Ny zq#=ds#h9_fPt6xgwf~*9NnTj}6<}0wnBx&XJbqto2&q-9 zLd`x>K`^xM%NJ&oh@T!9Bj#^xxY*YG>dvvZX1%eDOr{zir~hX>MjekpZM%`y>3Var zVlYXUm~9U@P0J^NFEF%}wZrW%4NaPl@+P@#nVpTO9liiB^=|(({~Av2<)@dGV*Yc` zZ@TFv*hmd)hFUV7rZT6FBt-V+GOWRm{kD_E-1`p-l)tLg1AB9(1%AlJAu%% z%K|R%R!!xl0VejG<$uiBe7-eDO;A%POBK+`8ASi-Q_7pGu{p1J&>PG*8fYJk>?~Tb zuV4|Cu$|To>#J%0iA;D*`Cjh~DM6r8=6Rqo^)qZ0V!-xxr`s5B;)?f`Ak2Km>(tjZ zFF6Eo-4(X|dIu}GAoTMwR#uR#=|^Iv;JWfGv(e}{oke^piV`yP%3|h>e5ai90c_Tn zubT$$|0)f?(nhI9N^O%3Ph${HhY+k&hx}f+DGeDcHt5Nn3)`@CfYWGCe^EF}ZzERm{p12HnAKssC9?%`_9LL&Pn#{=EQ;nmxcJ3fdTc@$B9 z>85Tsf7(~hY_GG^GXA})#jN;DAKexA%wbMzFW9&b!R$|cwCkFAZFWEoZ~PE{WxBNm zAU@9f!Q&!nQ}O3_QdOp?gkVccdZjL6ccnb}GKU89QXQV3R7o1>1em|?Dq_@G-#49V z^=rlFe6M@o4?o(~^!k{T(yb#tK?iz>($vTRVs(NycWKRp+Vuhc^`qtFv1JK>H~a@% z3RL0S?ryF9ltJqsS!MYK>-m83{UU5Y3v0p6l3fFG!Zvq0?Hs*z%!m9}-rEh+@Ke=L z-#L-GlHAmslL*n>uP%@J>hlu1cn*WH5Z1~`u*KOSwcg`N_h z*PLI!eVe!bgHW5d(veJ28^5a()nB(3(T`H4mo#7C5jRLM@Zsvfm!|0XU<>gXTbm^L0`2JX|3r1`NBbj*y(o8sS-%0kq5TA zMZL3UZRs#%9Ve%s;{RGWw*jvuq>4Lo_XbUdo!y`>Dq>CYvKZ+Ibp;=;@Atc}k$;%u z3?>u}=r26qj_yh^KEFH{MjwZN@W1N`;YDU<3MqPWM9f`1_NVUtbDEMTtc)1kX>D!I z<$L>qryn`F5xLRHjknf!lbjv#rK~`HJNGBQ{D5!7J(3(^XD`n6(gRSibkI!V*2i{j z^1mP`x^uJ~pER=A|2GHvr0NxV`7_tVJeN=t!f1TQnWdg<_r=iScLWGX}a4=_`$Y9gQ69)A?p1 zujc{CbZ$I#-^sg&%|BX!`0u#wbNK&%hd@hKX*A`&>PLR1@Tz&GCsyqeVQIU@j%&1N zOM$B71S7hATq&hj(v^*bc*u@vLBUAdu{fYH5NPAFXg^Ff3)4D%9RM_D76!g@ihNdX z4T+aEfWwYmay{InyqwDSs(=RUVZh(ak0Z#v|{6R1@;fu<}mtwiC{dn32 zjH~7qPO_d?WSw~VzTa+RTUgY_D>3a5VDD~neZS4B_imF&m=yXN{Lfe&0 zi{!)3Yx>opqM<~x%Q_7PMwQ5%W#U?!uW4=zb`I@LLTy7ZQ=_+(&#iJJh1V#KXn}Q;~2iP z6y3as7f2Q_tZu&~a`1A|Q$B-{3HF1Yu*%cwr-ncS1 z%wa1{J-@!C()}2H!sFM@$ZTv`4XBy1@eHgMryTKe0sc4>6kjtQSn%^FXBLD^?Qf)g z5HWp(n*Ct~+?LWOCwGA3W`658>-Tr2$d957;pNwbfg2rc6j0e(5GX4y;RlSj`3gVr zH#ig)P8o0?b3P&sZ)>&;MPU{~OSRI1;MrRerLtHmaE8)pr1WFjAHVmDZhT~lS!U|= z^1NokfR@;NUOWl-el)er({)VRIW@S2sB(mS0a5vjmG+-1+8S!yfcU!WfN#T12qA)QST1`g}!HXNdl7+OxOgZJ6lHaa3=fYdHk%%T7~?(&K=C9cZ~j7S|J zV(NuqfQ_THQAj*ZJG~Gtv|@O-W)9K7JlEG&AeRnq)-JoM|5#TvYQj%d`}ZZd0; zO`H018K$lBYWE=)N6Ts3SKQN3%$ zxV1Fo{+393Jn8vgOf=$cm86Mt_t4T1w9KqII?>c8Tcp+uEAjYKsohSFA9^XAaVG(K zld``ENA8*bS^HA|vzDa8DJoSC%ibJT?XfYYX=zd9_Mmgp9hcT43ojv^~{qSmWMd}!miVy!EP)h>@mmmlO8n_RiZ!@N%(L0B3L8 zLHhUEQbgU}1$+6f9pailvCzxew%c1~?I7cX=iHAZkYD7Y9c=19&C-yi-JWJKzXr=q z%Ce1!Len_FCm9QhHJtC*uY(L{U9d>*;{3;p%lALBt!AOHf+jMeoNK5zddgFODuygZ+9L>xGzD2gP}r$htu#DH zg3#?%Yy{jNq%3D9aQyp;D1Y?>anJo^%JPi}VSRau+triL+xS~H2XFdd)3 zCaHh1P^YhGj^))c^?J&)3;Z z2fsg82^?m6LdjLkq;l#l*a~#K{2A^;V|r@f4<4=|l9UERCwl`w*Z{=GNZk7o$TNeOCSWGJAwiv%f~Fu@ z=bJirYJJ~uN6QA9l%Yi%w~wB-5VA;yDfeb(_^h9QUGW@_FA7cDDq(PPbe&Vl8U?sT zcS~cy$l_r8Y;dsX_qD+OohFE$gAyTvO6A*6*RXUHpb$hP1Y6zl({&MJ{>FKL+O!v! zI%l<{=#Xvm4LOe8-sQ!cpWeKG{qD_AI)w@$AMvf#7B55;)z+cOeUQb5LjXfyIwlla zJ5)b^z_5#kl*=0>MXbb2p6jiT5FH9iSgMa%OOF>$QKZlPoRUt()-X3>k)1gS3%%v* zD9`#yIJ}RtrNChf@V8)8pSC$@&#Pwy1tXF(iv<|1Ry^RqKcqM>VUYs}T^-=3{`S_t zMFh1f4Z?)4Kw0|wz=#q*pqD&QddS%uFz|VQXO)V$0~A{8Jng-*L4msxMH2d{LFB*) zqYVMqAg!BWBf|tN0=s@MGImvP2k4 zI#}Ka9I{W_P(~3t3&&TayK^LMrq|AP2j{J8sLk#Ra;m z^asy!uw2iB4GU!IE)j_?t%Q*vaZ%<1F3tEjS>5`HJN&{=*31Qb!Tj)wt$;DUfH1IW zwzg;C2(rHdCG#~nIHMCvBmYJikA9lKespxrIcf|)IuQ}WsxLg>lsq#5{>t3ZDz6h* z-xZfm#`9E?LK+Fe()ybX-%;Ty#WG}nmF^`;;epN-039cRDa|}g!Llc7QY0CA+GZ}t zB};!CV+!z7*i#PDLB^%N1Ff&uHo&4tFw}CvSB%Xv5mh6ckOHP{mZ{LsToo4VN2N0R zsMzel80PlFe-v4c%9G&rqIXmdA$Y?PO#SSEg}(+v?ynP(xa{;?!2{OV-kRuU918ye;%Vj0~dtjE$OjnIo@Gr!itnIh}ARjg%Klv z#?*;o1U=Sgus$M{?1|vg*Ryix4y;{x9V7!kiU2LKl3Id-`#J{aEM&^uI9PR=KlSff zH04?B=fTEGhd>}HaAcPR}^qD9JBx!#aV(0H1JHZtg!J@EQevif6b&4 zk!}#XfNhj)ZDY5T6rn&qKwta_)iBqmg#TXb;}XkE5S7Rvp>Z@8A}oX;*95fhg8g1_ zjDFNGHfJ)!8s&*D26(dK(R+^XMa046#-^miRkj9`YPOHQObphrNT^9v=a7X==JS1Q@ z(9_reu^jxi#$3o|Dhpptb^^LQRQ%1?U{fp0tw0gRtZZf$!Lk~QzWnA3%wpA8vJgpG zpA`h_<#{&rm(vilD8%?IVt&SK;#+aeKKi{DspqM%-=xfWK29hKmem%AfAxX22y&2J z0v+L^CTzzdO`Zn;@h^Ss?&$WAg#9F3u&vKEdx5h79F;I%rVL0C_OmN&+b}q2dqJ0( z`7d${mc5`ko~>%g8Rax68u{80P_KVviRyf+Mlo?&4ZF1W%~9$hgJB?5Jg7q(NOt`x z0q!6V1CC_0!)!95+Rh*Ff7sKO%pVpOK%6MC;e@K32eQpHae&rpoD9Gu=E#grqudHI z!DVSmm2}9F=OwwUgY3b*q!4N8nEaB^(I>>=|q@QBlRxb03ozPRJqHK%!zQ z{1Bb+6V712`aY!_WZI~z*Ex+L{T(o;AYV#rO$CmEhapEWx+hB(e}kPw`bYk=2WBh+ zCw${4`vA#l86JyPC!SqJ?S6icej#iV(@&|&#pEeV?su3{g=8MFh+D3L91s?QXO%<1 zoU<4dn6FE(Ary!~u-D&?6JVbhwjc#K!rq5B)nEqRV8IgjduX2nfoJ#F7fAIjD?AvH z0E@QwRX2jnR*w^pe_Sw~LxroyqqqlSw@?1sGt}=KGt@VJ8p6WacTG?OdU(b2IZIK3 zy1n07!0&hr%O9v0XMR+h574>H38CDYv=lEM*3QDbQ6e-c2PF&dEF0_zG((d}WW zObkJnnQ5=yhOhDkUvEG~=6&q5)$0V>r(z1(2&$D- z1ikq(WIGhmdBO zsY6?&rVeV8mx8bY8h^Yl;+(?0YL+Jd8eyH~Q-Yr)p%P^;qh^ZEQ?^Y-01*qeGI-q1 zxJ`^t4j4^wo%WZL{#EBj=vvh!l8W&ynqc(1gf^M>jE&-Z7KSqAm0mM+qL{%$!9FWx zxJ?*#@8Bm#@1U6>_^v*JbcdP~N)lp!&kB5|*$NyXjcmv^BY!{~J#DXpWEw_ZuwfzY zVl8t3hF(4@MYK#@Wl;>yzML3S?cn4`%~7aH!qkG(jz*b-S)wAsxxmz();_h4AUPQu zRZ-(}qgH8R-WGA0fXkGh4uXMsIW4Pi{WToRXFn|)R$wUEu5wQ`+YV1UF-~Hbf5^5h z31I;on7NHRnt!XLCN3dz*>QRGT>Zk(Vb&xNPx{ds3-7Z)-cd65^HtldCEhb)NgCHw z50)v@gG3#VC5H`)40QjX#j&u<@O#%=hS%8Y^Ib0)!uYfiPZSj8gv<r3Mu7=Sv~!B0U8u-DmT;4j(-XS;?k%HA(&5%U=x0y`l*h! z70{6S#I+zLr^t@2>&RbQK(WWe2#9Pk3O1$&Ivgxdqk*xDOx{`Ixw+S@tWD@Sz6lSC zEn^K}{n(^urFWC9?syWU3>zE#=?a}hnE8RR`u>i(CUvjQW38z7|pH4V|9_XmH& zevNyO9RuL?_0j>#=G!D2pUVURvX3xF}+Mb1I5)^z+*q!$GRE4F!SP)Pi zDe&Xmphk{dpcRytKcl|yA3iP9fR&x^%7u|P?}&*Jdcg9j8wv}|W7a{{1rwya8Ohs-$5F(|rNL)^d*HvZ#4=EHwng%bw4Wp*FJDbE zA(ZTgb#q`^WE=~^wKJ#;ov(vLfttyVt@|b24P;l6t$Ni;g!cv}lPp8+QC^;^WCUuj zH2>lDhp98BtbCk=a*a2DPsIrmiSfg=Gmgx+5aQy8+f%0Mr)wg__@p&nMGxZ{;@#h! zM#6Z1OO!teY3c`fN%SO~PdSeWW#Ei5il5{Ov-pW@!5tidJ1qg74gj!S@Gy~Ufe!fw+eqgh=vt?&|+xg^;tV;q{ z0t624^n>jky#M*t;OFzszx8ju@xPmV(MIrACc!Lf3GEEepRv@jZ?v|#vQ#5}6lqyW z0Rf_Hd?zH8Y>=V~v-Eo|-@emBm(KWqbrD4}d1zCIE0(6Rz>_s@{@Aq6@tq{Y;nJF9 zdoS?(lrnNIF$KEt)s+aV?re5r;5%>lgpPS8uw4*g0+!0}IUyk!gs*gc!5O7|?Xz}! z+6hx-j9`^zwv&<9VWdS#K-KgE+E=8tN0~CZ4Ml0tsFStbhdSKvVPh^V!5-; z@-a2KsbsU8#g-nEp*9cAyPIwFK?^oy7(7vB( z-u~2F17RZ8;P>L+fiIQOO!GTaI7C&s{R4eQUa#K;!`-wMfe(%&+}|#e_~d=jOz zQngzv4M06!^MtoONZ1B0A6$HYvc=0q%A#l08t7pEtHH~+LDh*k;K2HoYvL&`HoFb6 z*fC{>QRzFu)9bDZ+D_3j0q)ULisg%6MsiU)VFR;dq=nFE#YfM6HW|Ptg)JKatkBXC zt(=x?kxqx6l$k1LS$nB_m1C(`8soD{x)h{EW#h{XW#a(8K_t{IWW2S1>8f4lb6Tpb ztGZyIe#rc^4sDdT;on_BKQ5M)oH=S~YA~=Xbx_$=DG5{lj$5tlZ!fcbsU?VQ0eN+ca2ZOoCpE_^%CVky3*2I^uf_kFC<;OxP0;Y#hVvrXJ>|CJ}v9$ zggSZxIt3i$EGp2AKskGVag{RvLFNZv(5xqpxr0C+LP%1grw&N*$Hd1MK*3!FixMX< zW4uf3z$;#Vera{i^7d~0HYmD6CCe7)R$w&9JkT`ISP*0bB)$%O_&{*$vKXl=w^^p_ zNp-Y5<#z!D!7dp1xSiH%RbU{==}KwXmfNZglrr9}-lHf33yLT{%DNs_pl#vI%9K7$`M1W|mLKxo>Iw0T-pZI=?!VP6iCtfb<;+GY?0w5ai*JDIb zk9jZCf{nv&4-Vd7PZjVrxU~em!k16H0vZ9{mukEMFIzBh5{}G<$ff}+8YMzbp*11w z@8F$+Q9Xw4hH}E;5d2B!LKyV45GDy$=o-4=Na|7tef2op30sn}ta{8aIDN_T)+9`< zLpUz<9w>eL1;Y#5cMPL}*bN5ilb7_o0wsTW0ew7Ul;k{Rvb3p%RRx=+*p|0Lwf9T{ zk%kU;IsgQpPwMtw=@(dib|(-!OmAo*k$S{Btu3iyC)vuPK9LWx3%ZCSd)acp#>dS0p=-aNiU{J_s&UVI+zYu@5 z_n@_pK2a-}1yMce>yEUaNmbnFkoP|w8jrgIvo>$5^+^RSwTod@3XJ2^{t>L_PS6it z{XkrgU_WSa%XnXIriO7Bn3)$lNEa*vg_mK{8?>&ObaKzLWbPZk!h&qCs_QP=pn`oO zNpHX8hCHRdj4_%{oRkcN^e%Aa*ByUqA7(w*d@`g4U4Gs3{OnxwBq0D}35Lh4{0Nj~ zz1FYG5>WMlSv@_2FpvrTsr;&F4bs6-*}i<}4bH$CWP!hRdc(p})M>R@bq4s#uKU-v zu6xU8wWg!N4eoQwARJ71kp0V=uJA3#S%R7t7%j>7U)r=JeI#D_{3{#svsohBI`Bz5aJK9S!vcF)_pe?$H7Nq#9>d;3f#B7XfX3>SIX!NGq=8e3c!1IFzVt~(^J`Ya*F22mH@(z? zj^8!2kR}6(&hYCSXy(gL%T%wloxCJ+-c(1(uKKozYNgk%u1yGG(a(kvWwKbL>N7_VPt z-#W^}Utc|}zj=@^-#S9{tpnVC^8i1_-#Wx+HGux z4$=acsADl^X=^EJgO}!|dbo&QBLF89MLbe;2PW@o%rsJ0)}P3Gv}oDz<^*ecirH}w+cb8~as#M25K0fC;uQr`eo50uBAVVhjy>9(v>KbrIxQu)EdZZRV zw)9Wko6gUYu9nQ9{*Wt>3+h1kM$p>FK684DNuDJ(#uoKSMs971IahO)Xd=5gvHowcPqSd3?L`_svI ztat6-$Jw?V!Bgb>JzqGVZK{@f_lFl(qHYG#5|1hfjWFRreBs?1g&ao0OHcVntla9D zZW8%W=%#6!hXey~e^@{jnoRH3wrUxLdgW2ErC2i;+^2{;@#Zo^!E40i8Dt@-$2IUm zbN)iZA!mwFpZx_1RWZ>VWWYG0Rz@=nA2+ejY^6!;Uo6pq3zbOzxIr4k=ZX1{_oUhm z%R-7hBkbn&Zf`W`6Gw0*h3|tTBlcqSMHGk1q4C~3&E8hyf627SW2iFohRL_tAhQ*K zn_DPnKN}A8ZmV`zJ6xK-tx(v}us3~}{y}=9N&nZK^OS1*Q7l3hL_Xu64fJv5Xq3BJ zkExr<3S_1detuygWK-e-h-zfOsK*7u;q3TnTYd!*m4MQ2SOu~4O+YDfkO91)4ILoJ z<040SkHSfIf2m_MTDKL#0GL|h5L^%bt^P+@rMfq*c7=4GV$#Dwz%DX=o%GXB5+_75 zrkr}&0{&qkjue?w>474%H;&MREHp4ectU^T@HAZ7jaG%=k}SlYB(X1HSXsU~WTyql zU~5(>%Z$VqV$(3ZILkC}C-^RzNCt6hu?5lSM-tCfe;H$h=JZ)<7~a*dD5b%cRlqHD$sED9OhMAyKfRUhJCR%NLD|_9RVibm^pP(vjke~lQI8eK8uj=+Cs{KsG8;aVF{q_*4SPvL zAP?@E#&_Smj~$mGLCrGFdb3)vfsZ$lh4?6Ff5&#F4pOUBvva2c-93>m&bmpW z49flTkj81pDEjH_Z@1yc0*McQeH%WUK_>tJn<)SQ761SMZDn*}WMOn+FLY>iZDMX= zX>2ZVZfD(^^;cA1*!G7WdgzcC!l6@2x{*%l76AdJQ@T69bc29M35YaEi%3aJgOrqr zz|imJ`5WFJp7pTKoLRGmHT%ro_kCTTYoCeH(on+3p~itgAo$A9L9!fZv|2Cbyw{$We@N0I|W@9i-RQZ_s`Cla#jkLjf zlA&6z?vkj-<{YV<3d9P3+C@-e@Nw|y3&;BQ3qo`bZftG}W|_{wLaIc3)cM<*met#Q z_rRSz`p3{v2x^EH$&~|PuyjiX11bZ|2L6BKq&3|6R2!De$u*;8TVaG{C^$Vshq;cB z*N4*&_~b?ZRTa!IT9_PL^P(VvTJ5EfJxjN^9U=MH@^fOk4KL<@59<=gY>0j(5iMPC z&(HYnF|ysCHz$fo>C%R7tTM3hg%y9{FJ^L2pstxvRl?SNC^{8sw&Bp-{xz?d@fY&H z6V&QORD$CB&PqO+!kMkq%LL@lQN|Zb)sVw;9raEF`r&d9m_iMhV2*{ znjTJMS3}LNB;sd(ZQpzN{YrDC zCk3`bg|tSJm!HFB5hz`zihP9%l7iUj`bqwXnDWouhWUq-lAe^P;|xCQ%sFBiVI{*; zg)R|0g+X*;-beC?G!zBxmeVn@9nU;9M3_7y!)5+}wG148Ce2LT^Y*wiYNz0>hCdG} zPO%{;yQmj?dhcMuqjYSWzOm(4f*e(iFP5((Uo5aI6tXPk`6Jh(ki8UL@Gd%f`nqe% zbr;I8u(0{gx5A0^QUsmmN%)V1in_b$38K*K#tR14){1_K(F_$=RjE#%poKsn5l_A@ zc$+J-6-KmwF5t_orBKs{4O+@GpaAF{whxj&Gh+5FKV1 zihw#(zD8+dBT2m>-j*BH=;)|c)y!AhM#FZm!}u5PXB@zRj*9ZBsR`x%(lmQIj(!!H z_p&F2dBE8WMv|xblV^Onk+b&Ze}=K=2+`2cCiXmk+ygaW=vt&0u_cy`3&|r}TI^=S z2JECbteGRwsJ;n@rZrzW`s?|j{tJUT^BQ~cG97Hrkuju%`V=KKb?@crPRgjQ>#wgw zS!xL8H060GArB7^R?$E*1=MT;qM=c&kp|vuHTS?b4qDSYr|UPDr%w(7yH^R*RU%wO z@bWc(qJ&rdNwU>2X=!P*va+OGO(REb!xT$p5NSPJ%}e~7;^3Q>mX^-9xR&izT@!G zD0Xip5Nf7|z@ZSq!>UgDG+*l5n15xFDc#WCvj{lU{>>XjclQCiv+LV9uLF6;5O7%B zU(KyuF3kPmHt#Szy`WCOTwY$@a9oIxMJ*e$=La>Q4(rpO~Bs$u#%FF1%javFSFsJ?QX* zZK?dbxY%Toy2PHXHe!lQtx`Kv-42ER!emHS>2a#%X#?|W)wn<{P_jUTj*^y^hAWCW z(qQlC*w^N{4}mz&R-a7QGc-01c1+&#yNpUv3n~ZBVPGKQSaC-r2dx4y` zF5U6!%`-o;x-dQ0_GL;sIz=#lH=xNSE|$WEhn2NRBeYmQ73rm!az}xe@}=6t$G!za z{r%{eSXkQJnXX4mPbm`=!(|z&ExNmoP6bTi&gT9koD!#m_1|0Y_C{WTcX{!2pU^-d zOiWB0X_kE0QGG3kpBKq*TMWVsIV1JhBTTumnvC%i6dwkBugCuB^JngVZ%=h9N%h#t zz!Gs=bm7Gfpf)bVKVwEsP-Kb>slttbxcr`G`}FBkv{Ya>{ZJw;+DHR#qO}4e5gs97 z*ro^=6s%HFYb%v#K7nl`6;K801VvN1`o%*LuY)X+Db_Mu(kgvoL#}wm%{<+I-{d&> zY#yN!LkuBf-;VDS@5TIo9jBTh5a;e&A#=aI$Krp#G9fpm?p_RER858t4DOt=jg7R< zDl-$q&{2eP)$v~cz#*1zTsY{M#U%e$cz;>AnQd2KjWn0INOdCRAdf2sY6!Fgug`L-TwRbhR~jC?zHar)?WrMuC%M!7N2}SdC^_$V zR2Nc_zjhA}!WB!2Xcc8(F5BO!!20Ehc@wmIbEsxiV-@TS2!xw*vs^Nrh$q<#;5;UB zsnLJ?`~X=gASlR?t){YZ=jI4`UaKG8ow5%fuqF!Z4jS`>m3?=xz8zh?!3Xm!lGrz; zDU|@^o?vGzUyeP0J#^AO+`JA;eac0YL$`Oot~*hQ&KEsN;+&E$;Gg!1NS%*4%8>h$ z#A7GVl0ILB(fbqndlVViNAF{E8VNsA=gu>7{%pL#!9f^7l~-8J$Ph)3srpz=&dCsW{f=QunqB^ z2entbnVJz4Gt9sYF0BA_6PB;klVwbS^zrgiCu~fK(Io*RaK~0M_I*E1qMSphDS62( zdGn_agWgUb=mZfj9$KW8%iWDHRsz(n;}RF}!tqkD zE_i*?rIT=fNxDqVc{L>%`puDdcV8S&SM=Ro;K6b`1<+=&_@KT7)JrQWupFAGFa7EK zI_V&!JLhQWF6A>05i<@7t)_#&e!W8~IXF90I3sQNV{G`@VoKd3?& z&BtfldwLU3lMmD{U(A~kICNc|<|I7ucHd$%g2G%HD4coFt*3Ud^nk&87S4+QTtwnW zbA@@XTI9gN@MX-eG+7#kat;%^VL6!sTLyakTwpjiDo zo9#dT)rcm?l=^zUU#Gr6FI{M`BCSZX1J34m#mD=OFT%=SaawfIfNBftv6R-;B~e3= zL`FqT&Hz^)3IkXB#BMCOg!Sg`qFaeEP3@>d$ru|IyDKI;(_e?md9062-`q^MB7_4RA9j1QO2I&jCfX z&v_G_W-h24H^8W0)21WH4h^Lw`geUhVJ|S>6(GhM+k1Yvh@$tstuElu5fV%&iIl#7 zxmRqk8>i!gr48F`Z(U43hQ*dJa}?qAMYEjwi2MBM!P=+$Vyf1Bn*?|#r(9-A04D;K zH5PP|Ja;BZ03vY{OisUFn7c{O~{&|n~L887XU{;C)2u|E(|eNgGt z9xNWSsJO|#L4d96WS{7(tlXjQ=(f~6#WP%z3t#CXlDahYeNmB*}!^6~O zB~2c+eV9Q25olNhmd7idp7~YWA-s4`>e_+_ekF<>Y27TOb7X!lRS}`d=-;vkID0-h zzPGz8?d{!cFMED=#sJE{xtW53#~gjg^AIeqgh0g7hg`rnyrI{%4dN)s`>*nODW;VL6k4U;roY@Jab=1kz-C8+~{QZAdR`lQRh!Cv17~Z@v zuv_t$YgEW_XUf$Zl0G2^hM|U-dY-LZp^M*)27AKx zrt?hyq?28D#OGB`eDX8oo9Sxpr4h=+qebf7H`{YgQ z7WoZwNXq;x48>F-1o$?9fTH$xlfTnvew~&^xU3{W zadA%N+eCRr>(?^g-oh(E_W=(sgDZJFoW?ScewEH)z?kwZ_~n9cg~?aGGrw94ZB8Ng z>_w@o$s~unt^z7QZH&Kv7Xd*@w+pvFditO8dhJ=>6<^Z-L2eKAxaMW)87P!aMt6b5 z=~b1w#=xOX&lM?DE;alr=geQ)uH<`iyLC!0Qza~2ro%)|@Wt8@voOJWIp_JG>sz10 zra71U+lw$ON*bv^ng>)zBNT^#iUofCVkmL+q7YrOP}417X2RQl(UBu{m>J-whiLwM z)GKx%&l^iCYW5dxFXPCXf1Ch}s-nJrN0(aHO6Jf|PoH|X3jEv+J5<=t{emStUlK9ApTd;F7Rd`2Qcz?4q zfN+4=PP?0S`BQq%YOzv12qDCy;UI z7nyR$9iyawf1Uk3Q}tN;L!JK?hlty+XdBvhSHf?BCOy6k)pcz(MGgvgF?5;hg@}8v zKNT+w>`I-b!%Rjm!qkFT-uDH)4GEgMf7JE!`Z=Jv$xrXMeNM!AVoSAH*ZwJ0Sk7_F zap%igThsWJVlVVFbB=0Ia;dv`dW=4;-9u!k)X3C-O|ivpc3!N60cu!0RAfwn<)1V; z&h^?huK(Mc+SoTXyZfLfj7>lz66`OT=mq+$RfjQ>mLn+!QR5SZB?a=g4(0}?#G=bW@xWBt?q}Ayy!Rg20(67=D z<2fx7Wz&lH&{ku`Em9$+EC^XWjvCn_?(==F%a}VFyEhKt@%8INtwoxT&7b-jRs7uD zUcTI8Y*MT4-^Ihef(xV-bfAE;)XJYqS?u4Yv1o?AG!i-U`%mRD za3QcX%kAEA`w)OLfJ-Ak6Z{85-wt!vxV7 zU;!x(hSPEz8Ne+V`iY&tKMf)c8!$UCjo{@sSPI(6b;6+NA^ISiY&AvB@$WmQ$hAK* z)qa*-gOOOp!a3UC?dsh&4{^KxR7yg}PXQVb(-(=30esjR$sE5H2h2EZqvLOXX7;ab zE5if`J(yz<_zW}hiTq5zb8dqGf=j_IT`^T$T8ajmSF2Lb=VI9#MXz@$L>f|v>}Tme z23HBB0h2BXi#;7jKz(z%Qn%<8b$)*SMm#$d)!4)&MWw9Y&A8RgHn!wr;O&*~Otj_@ zP$EzXAm_8Dt85@8=#mVRn_#4W*!}xwvucYI`)4IFdYv)lk>ltxu+_SsF^+S!WE6FD zK5HoGVKVQgUiqIl7Ai2N1W)ae?!SMU*q5=`Tv9Ys`gXZSt8$uk+x>kr?8xn2Y2r5? z>vp51o&zsCS)M~WYyX@@5G}ZVdcby9*VlX^BGHnZyD^o7H6;;>EVsI43?WjGwVCZh3Uan_+pWlY;_w2(u* zol^6h-Fr1aI9TP+3%_XxK0g9h;;)V4l5s$btrdNL%N{P3mm@$ODA%eZ(t0LKm0Wl7 zz4fVK`<|@tr(uwfG+4%er5GkkT|^L{Strr}SVHZ_eij-@$>m?KhqU1 zc9KWf(0k0jhHQ_0HNzo}K?fnn{OzHj(@F=`o`-RDOUF;;GF?7OJmdyNH>DPn~ZT5gV zo|u{n1KOH75&>5B{<<@004wSa*J5!o?6B~LKDbS&?Ug})GamA9W;dt)7wk}%=WUP2 z2BweL6iZ#lc#oSxtyyk+BXCP%nt;t4Nmc04NI%}-@1$Dz^^3W~oadE|-)#7OE)JV5JNn|?vK83LLmOXUL?|V53dkKYqnK-c}mi*ZdK^o}LE&u?DPD9RK zOJ3=!nb1uU5OGBEHR6+!`qo|GAf;DUR&JMzy7`C~_`ZC>(-tGh%NxAtY(O5l-0Vyb z9KAo;0uBpaJj@Ws@IF|Iz`fD{%(&B_Vj%8S@MKu8WYeIx1B7lSCZ^Pm3QJxnKq*V! zXn=Ts8|en-J(2j2)-JBsz1sQs`9saxy&eLwlam_W3l5ozDK?D^-ETje99_>3jN%g# zid$Q$xVX4L27*S&0;vMt2-r5vxJD6?mU777NILKIxouD@A(h*cD33AZBWKlozYcri z0!Y!6l$6QyWi+h8C12*KI&)MQaxoROqwy?%!s{!kMs<5Tun1_rB+`nxZ1iJ;XbuQ& z>FseRSS6rf$Ul9ApnxBCG#_Ls0^}L?^xhOErT+5*yuN3)86+$kMV+0rllG*XBPwd+ zKH^=ws3`31($DixO}VjZ^f_h|E2j3^uA&7~D;>G!MA;$8m5VN59A@rhLWScmEG>(F ziV?FwFl4kzB~P=*;n`{$PwEMzcV|L4GLy68OUoPu)y}WvD&ohY-729MIV#3cWy!<= z$x8GAq=DTQsdsBGBK#lx`S+zO%E-=X zac8HQHOES$J4Iq2&>PDO&WZk#8CG{P3ma?GoKdmP?9lNYH1(-yc}8SulCw0MC-E{@ za3DELR{1N1<#FseidZ$y1jW+%{tt-nWH=bW`9O8W2^XrA5evULI6kI$eNm}@x!^E# zk|j(Yr~IR?qR%zYFi3)4wm3P<-v~76 z%#5e{mVLftfS-0MS!QUYe0?H+&C}55Rr(KmjC&p>pdU6*;F%-EKw$`?(10bI*HMUh z9elYw-Qg1zjRlr$>J(*U#ww0nX}3JKSMczGppK)EVvI+=j^)v^^X_{$8{Hn=Fd#l{ zEWz2rnxhxHDD#wQTsQ zIzVVZ{;QDUIx?$w-F(<()FO>|z;uglIshnUW@aMp+woZ#2VU(~yp*t{p_FILydokZ zrTXEUBK1PQP7CkF&yQDw)Uki>9@@?~^NAoNQ{$Fso~Q9+ zGea8z%MqGzwzS|L%Pdc{w>A24p1G1S;K6n&F@6eK)ViYQw;~^1lG2Y?9|2!X+Uq&> z`GHne)6ggZ^QtLvM!MpEs_wMVfC0h^C?A(rQxTUnNS+3ZkkfpBuv`>@)j%v+R$g8| z5-Cy;aBkG`rxzFe4-_4B_wX=X{3GaC%%mYEoL|N#62OTHg6@0)%7K6_+|ju4uygaz zPvZP=(O~Z4;g;2L(Eatp9U9&$!UmA*a#{@=wU=Y(4!u;{81*TlL7aVH1_1$d(6Vf@ zvGR;Cbd=_0uSdXtKdbIf2?(st8Ottzt+)i_z z;jj;iB(H@o^=>OA?5iZ+?)*&x7vlQ&m-5Yw#qCVq+$Sx6$+Jq$b>Z$y^}g{Z`3n1^ zVzdQ2R`rH!XMPM&)T^s2Kz1BLohldwJ3y(5^{a@IhKLBF>H}~6@bK|LJu{}X=-ivB zrwHecg6WiZcIE+&HaIlo3X(1pT@rxVn7t$VeDTDC-(LMnm|EPVMNI-dt+GZ1+H9FJ zl2m9G+{LDUU&A)PY3<`#P8;(QH0_4bhi(^Z z-P_@|-NOd-jZgG(f#6GBrg7x_Mi2R(Mzo{xr{<|Kb_{qL++JVYg!at+FKp7(Zmp9jAfO3&9s0UeF}X3!NH zbbk?4m_ogAdik)OXTzVZu-B*15;|a=BJehUxs)2Cnl1S^No`wo-Mc?Qz$uq{?CtG= zYU2ZS3Wh^dKQfIpfE@6^*b+5>yGAKl5D57xplFPwc){+qApuRFBob0{U_ym53{O$I zzK3#b^<)~o_rUx^gJpY3U7dM6RNWuP$6U)0VbEilY*Cgl){<(hjYtS#tjQ9Jge+mK z2_f5D;xQ>pgpkTwwrmqwW=8hLR>qTM%44hX8$EhGzu)itbMO1RopWCI{&mjhbh+H1-5~$vT&eK9O-*aND^UA6Ccd?94Oum&PVfFv`?mIITJm$&LWNLYk)pjMR zX7~s@bBM_O+^j4Ttyp20IQSr=DSyK`sD_vh_is&@c=qS3F8J->;A@+svW=+IMlpc` z&{kZ?rb3Iq$kLz;>4J}twzzb;0s;L-0QcP`NPocvzOgv+<7k1Ld)VT)Q~C#~b}>mN z^6X#xSh0^wD%ETP{Sg({JtE+pot=@l>)3s}ZKcxEeH(IDHS?w$dLOw5Y0~cFHPThD z#`a7gDyRII48ppn4Y*>~`9xVdjf3ymV(;jI2Y-HK+TCiAuq#;}^5?3UO|gLTWXuTU ze1FDePF9j}4n z%9B>VFxlf5GQBRGy4`a#d`0CwX*Q{^eSy@i_qb zxRmQJ{ZMc%4WD;6Mo>o0R^AV<*zGzb3Ws5_su9!N>BW;_--=Lwp8u8`wKTh2*x0CT zcuLLR>pA|hNa^a$Ms1E!y=&}qy#~xuS$1Wn#2#F+`VRvZ}SqBMN87zIKFdY@2GC`UPM!G&qyZkowXeI_@_xC^` zF34=!bk{!lO^T$1X)h`A3BgE;yE?XI=d|oA&(fzgpD#lde;&v-zlQ~%Ke;rRge_1j z-K;70KjY{5&{nxreLm$#=!*4udNwRng93M%?H{?7P49Yn$uw5h!=QDR=XD|(fJLrtlN%CJ$-!eJr5nT9jYD$UMQ==4CM@H+RJ?zxZ#ItIlS>2`G zXZYRg?+>39gpv$$+Q$ByyqCq!tjxmwM(jEUm^X)hlKUV`w z-q$8(l&($w4?L+}=c>vbw~qnhItrH5-b755(o@sL!kii5i2gNvkQ(7qJhlantHHP! z_7jFzI?af%j&+^nX`3kifq=Y;;>wnD59)H>vFI}QdRWi0ayGbN z(rhj-p#5c~I`dFpD)EHvgDo>#p;`VX*Sh5qid7 z>XgLfK&!&OYU=bg8>4kYaw*{IvN@RqZ=k+ouNHv>3a_ zN)2=Ul3~eDx%(oX60lo`oJ&PAvmrUVcm&bgf%}W5>u1_xp5az5pZZDf(S)pe9v{-P zuht#P!J3+{P%B!WHcPPYG9t^OMVY=Gc+^t0&}zZhYiL&Cu#&L2U7N7=#Ywk!ElDO} zly`XE`F5SEa+hWylK3)2mVn3!m_MZh8N#U$f^#057xd*%;j!5&dwOG!M(1o9Y@;K@MHFYI>tYULPDIIR{wZvgQ?@TaIh#Tu7Si|BNoD z)Nk$FuZ|82lVML}EH0y{S1d$kah2LyeHlcaYo6`z(X!=JYgrzvIcb>OZPyDno2C{V z#J_x%8;;|2>#>)IS-mFQ5O0TvhB$Mlvy}~GUp=Z7Em*25(V7z^i_9dX60$B{RI2F0 zHEjsIzly;?S-K$vaXQnsct~@fjZo5=EB=jsmtK(g%@bh0cSw@Sf*t9DKXHUb$&}}6 z=~rtptyJfHQChzW4$Q~M{q=S{p*cv{r1(teJnce#Ja#2~dUvWn{Mh@eR-V%m6=*bO zs`f+EZzE6+T(v*i?!%0c7^wX4Z6~(L zax*w0zb3{-I$*2aIeCLgweHZ9c<+!aXV(^e<2rZ}NBY^GkaRsf{JT3h7USXsD}pw? za~VfeIAL?%cz^Hf5ofh-E58Lx$dF4%%OsW{$oBaTE8}#577O2osW&vRu%n$Q$nxg(GTAkmG_EbxqY)o6J}yiJd3mtZg9>Sp~$(3A$U1GR#yqB zU(%gUq|A94e-Mv--=WL_pOC=#r3!jn&&yjiR2Og&_9K?q>tDMLI}@sBCp6$jfu6)Z z5!?0Mw4K^r;iECGW*ccpvEC41{vj)Wt$U;wbC>=)n+}a&V-Ls?e@tRxX!bxcRsaJ% z2+dFcL_mf%jUOyy1%#p80K*^yF0%qWBE>$wNc=$vm>~LP+z_E( zReo2S1?gAgn79{K!h~{7v zm?+t&n{7}S_<;l9LHw(khCsyrXKE~^;0_013>a2GL3$VTFz9}OF(RQ#DEOfpDhD?2 z(H92g_dsRAc}{=_Ji^KN3gyp16enN|HLn60w>|4Zb?U(ZP5=cpXasq=fWwIYS77e< z$#}Fkfks@6jFWR<3Kw7o7%o6T%HB)hXDF!53m`zVgMbDw_M3|K2=!&(x4lP&zsZ!F zLF|kBzQR39{)Y~O+uVRUK>JOmJPfj?{)#*d7Vc5gZ`#@;iKSn@ldMHcjGqGXADi@S AuK)l5 diff --git a/01_Matlab/init_model.m b/01_Matlab/init_model.m index 647d4b6..18832d6 100644 --- a/01_Matlab/init_model.m +++ b/01_Matlab/init_model.m @@ -56,7 +56,7 @@ r_cos_M1 = cos((a_elecAngle_XA + 30)*(pi/180)); % stairs(a_elecAngle_XA, r_cos_M1); % legend('sin','cos'); -%% Control Manager +%% Control selection % Control type selection CTRL_COM = 0; % [-] Commutation Control CTRL_SIN = 1; % [-] Sinusoidal Control @@ -70,6 +70,7 @@ SPD_MODE = 2; % [-] Speed mode TRQ_MODE = 3; % [-] Torque mode z_ctrlModReq = VLT_MODE; % [-] Control Mode Request (default) + %% F01_Estimations % Position Estimation Parameters % Hall = 4*hA + 2*hB + hC @@ -87,41 +88,36 @@ n_stdStillDet = 3; % [rpm] Speed threshold for Stand still detectio cf_currFilt = 0.12; % [%] Current filter coefficient [0, 1]. Lower values mean softer filter %% F02_Diagnostics -b_diagEna = 1; % [-] Diagnostics enable flag: 0 = Disabled, 1 = Enabled (default) -t_errQual = 0.6 * f_ctrl; % [s] Error qualification time -t_errDequal = 2.0 * f_ctrl; % [s] Error dequalification time -r_errInpTgtThres = 400; % [-] Error input target threshold (for "Blocked motor" detection) +b_diagEna = 1; % [-] Diagnostics enable flag: 0 = Disabled, 1 = Enabled (default) +t_errQual = 0.6 * f_ctrl/3; % [s] Error qualification time +t_errDequal = 2.0 * f_ctrl/3; % [s] Error dequalification time +r_errInpTgtThres = 400; % [-] Error input target threshold (for "Blocked motor" detection) -%% F04_Field_Oriented_Control +%% F03_Control_Mode_Manager +dV_openRate = 1000 / (f_ctrl/3);% [V/s] Rate for voltage cut-off in Open Mode (Sample Time included in the rate) -% Current measurement -b_selPhaABCurrMeas = 1; % [-] Measured phase currents selection: {iA,iB} = 1 (default); {iB,iC} = 0 -dV_openRate = 1000 / f_ctrl; % [V/s] Rate for voltage cut-off in Open Mode (Sample Time included in the rate) - -% Field Weakening +%% F04_Field_Weakening b_fieldWeakEna = 0; % [-] Field weakening enable flag: 0 = disable (default), 1 = enable -n_fieldWeakAuthHi = 200; % [rpm] Motor speed High for field weakening authorization -n_fieldWeakAuthLo = 140; % [rpm] Motor speed Low for field weakening authorization -id_fieldWeak_M1 = [0 0.1 0.3 0.7 1.3 2.1 3 3.8 4.4 4.8 5 5] * i_sca; % [-] Field weakening current map -r_fieldWeak_XA = [570 600 630 660 690 720 750 780 810 840 870 900]; % [-] Scaled input target grid -% figure -% plot(r_fieldWeak_XA, id_fieldWeak_M1, '.-'); hold on -% grid +r_fieldWeakHi = 1500; % [-] Input target High threshold for reaching maximum Field Weakening / Phase Advance +r_fieldWeakLo = 1000; % [-] Input target Low threshold for starting Field Weakening / Phase Advance +n_fieldWeakAuthHi = 400; % [rpm] Motor speed High for field weakening authorization +n_fieldWeakAuthLo = 300; % [rpm] Motor speed Low for field weakening authorization -% Q axis control gains -cf_iqKp = 0.5; % [-] P gain -cf_iqKi = 100 / f_ctrl; % [-] I gain +% FOC method +id_fieldWeakMax = 5 * i_sca; % [A] Field weakening maximum current -% D axis control gains -cf_idKp = 0.2; % [-] P gain -cf_idKi = 60 / f_ctrl; % [-] I gain +% SIN method +a_phaAdvMax = 25; % [deg] Maximum phase advance angle -% Speed control gains -cf_nKp = 1.18; % [-] P gain -cf_nKi = 20.4 / f_ctrl; % [-] I gain -% Limitations -%------------------------------- +%% F05_Field_Oriented_Control +b_selPhaABCurrMeas = 1; % [-] Select measured current phases: {iA,iB} = 1 (default); {iB,iC} = 0 + +% Motor Limitations Calibratables +cf_iqKiLimProt = 60 / (f_ctrl/3); % [-] Current limit protection integral gain (only used in VLT_MODE and SPD_MODE) +cf_nKiLimProt = 20 / (f_ctrl/3); % [-] Speed limit protection integral gain (only used in VLT_MODE and TRQ_MODE) +cf_KbLimProt = 1000 / (f_ctrl/3);% [-] Back calculation gain for integral anti-windup + % Voltage Limitations V_margin = 100; % [-] Voltage margin to make sure that there is a sufficiently wide pulse for a good phase current measurement Vd_max = 1000 - V_margin; @@ -131,12 +127,9 @@ Vq_max_M1 = sqrt(Vd_max^2 - Vq_max_XA.^2); % Circle limitations look- % stairs(Vq_max_XA, Vq_max_M1); legend('V_{max}'); % Speed limitations -cf_nKpLimProt = 5; % [-] Speed limit protection gain (only used in VLT_MODE and TRQ_MODE) -n_max = 800; % [rpm] Maximum motor speed +n_max = 1000; % [rpm] Maximum motor speed % Current Limitations -cf_iqKpLimProt = 7.2; % [-] Current limit protection gain (only used in VLT_MODE and SPD_MODE) -cf_iqKiLimProt = 40.7 / f_ctrl; % [-] Current limit protection integral gain (only used in SPD_MODE) i_max = 15; % [A] Maximum allowed motor current (continuous) i_max = i_max * i_sca; iq_maxSca_XA = 0:0.02:0.99; @@ -146,18 +139,25 @@ iq_maxSca_M1 = sqrt(1 - iq_maxSca_XA.^2); % stairs(iq_maxSca_XA, iq_maxSca_M1); legend('i_{maxSca}'); %------------------------------- -%% F05_Control_Type_Management +% Q axis control gains +cf_iqKp = 0.3; % [-] P gain +cf_iqKi = 100 / (f_ctrl/3); % [-] I gain + +% D axis control gains +cf_idKp = 0.2; % [-] P gain +cf_idKi = 60 / (f_ctrl/3); % [-] I gain + +% Speed control gains +cf_nKp = 1.18; % [-] P gain +cf_nKi = 20.4 / (f_ctrl/3);% [-] I gain +%------------------------------- + +%% F06_Control_Type_Management % Commutation method z_commutMap_M1 = [-1 -1 0 1 1 0; % Phase A 1 0 -1 -1 0 1; % Phase B - 0 1 1 0 -1 -1]; % Phase C [-] Commutation method map - -% Sinusoidal method -% The map below was experimentaly calibrated on the real motor. Objectives: minimum noise and minimum torque ripple -a_phaAdv_M1 = [0 0 0 0 0 2 3 5 9 16 25]; % [deg] Phase advance angle -r_phaAdv_XA = [0 100 200 300 400 500 600 700 800 900 1000]; % [-] Scaled input target grid -% plot(r_phaAdv_XA, a_phaAdv_M1); + 0 1 1 0 -1 -1]; % Phase C [-] Commutation method map omega = a_elecAngle_XA*(pi/180); pha_adv = 30; % [deg] Phase advance to mach commands with the Hall position @@ -165,7 +165,7 @@ r_sinPhaA_M1 = -sin(omega + pha_adv*(pi/180)); r_sinPhaB_M1 = -sin(omega - 120*(pi/180) + pha_adv*(pi/180)); r_sinPhaC_M1 = -sin(omega + 120*(pi/180) + pha_adv*(pi/180)); -% Sinusoidal 3rd armonic method +% Sinusoidal 3rd harmonic method A = 1.15; % Sine amplitude (tunable to get the Saddle sin maximum to value 1000) sin3Arm = -0.224*sin(3*(omega + pha_adv*(pi/180))); % 3rd armonic r_sin3PhaA_M1 = sin3Arm + A*r_sinPhaA_M1; diff --git a/Inc/BLDC_controller.h b/Inc/BLDC_controller.h index 2c08169..acfba7a 100644 --- a/Inc/BLDC_controller.h +++ b/Inc/BLDC_controller.h @@ -3,9 +3,9 @@ * * Code generated for Simulink model 'BLDC_controller'. * - * Model version : 1.1212 + * Model version : 1.1249 * Simulink Coder version : 8.13 (R2017b) 24-Jul-2017 - * C/C++ source code generated on : Sat Nov 30 08:54:28 2019 + * C/C++ source code generated on : Thu Dec 12 20:22:31 2019 * * Target selection: ert.tlc * Embedded hardware selection: ARM Compatible->ARM Cortex @@ -30,138 +30,150 @@ /* Forward declaration for rtModel */ typedef struct tag_RTM RT_MODEL; -/* Block signals and states (auto storage) for system '/Counter' */ +/* Block signals and states (auto storage) for system '/Counter' */ typedef struct { - int16_T UnitDelay_DSTATE; /* '/UnitDelay' */ + int16_T UnitDelay_DSTATE; /* '/UnitDelay' */ } DW_Counter; -/* Block signals and states (auto storage) for system '/PI_clamp_fixdt_id' */ +/* Block signals and states (auto storage) for system '/PI_clamp_fixdt' */ typedef struct { - int32_T UnitDelay_DSTATE; /* '/UnitDelay' */ - boolean_T UnitDelay1_DSTATE; /* '/UnitDelay1' */ + int32_T UnitDelay_DSTATE; /* '/UnitDelay' */ + boolean_T UnitDelay1_DSTATE; /* '/UnitDelay1' */ } DW_PI_clamp_fixdt; -/* Block signals and states (auto storage) for system '/Low_Pass_Filter' */ +/* Block signals and states (auto storage) for system '/Low_Pass_Filter' */ typedef struct { int16_T UnitDelay3_DSTATE[2]; /* '/UnitDelay3' */ } DW_Low_Pass_Filter; -/* Block signals and states (auto storage) for system '/PI_clamp_fixdt_n' */ +/* Block signals and states (auto storage) for system '/I_backCalc_fixdt' */ typedef struct { - int32_T UnitDelay_DSTATE; /* '/UnitDelay' */ - boolean_T UnitDelay1_DSTATE; /* '/UnitDelay1' */ -} DW_PI_clamp_fixdt_c; + int32_T UnitDelay_DSTATE; /* '/UnitDelay' */ + int32_T UnitDelay_DSTATE_h; /* '/UnitDelay' */ +} DW_I_backCalc_fixdt; -/* Block signals and states (auto storage) for system '/Counter' */ +/* Block signals and states (auto storage) for system '/Counter' */ typedef struct { - uint16_T UnitDelay_DSTATE; /* '/UnitDelay' */ + uint16_T UnitDelay_DSTATE; /* '/UnitDelay' */ } DW_Counter_l; -/* Block signals and states (auto storage) for system '/either_edge' */ +/* Block signals and states (auto storage) for system '/either_edge' */ typedef struct { - boolean_T UnitDelay_DSTATE; /* '/UnitDelay' */ + boolean_T UnitDelay_DSTATE; /* '/UnitDelay' */ } DW_either_edge; /* Block signals and states (auto storage) for system '/Debounce_Filter' */ typedef struct { - DW_either_edge either_edge_k; /* '/either_edge' */ - DW_Counter_l Counter_h; /* '/Counter' */ - DW_Counter_l Counter_i0; /* '/Counter' */ - boolean_T UnitDelay_DSTATE; /* '/UnitDelay' */ + DW_either_edge either_edge_k; /* '/either_edge' */ + DW_Counter_l Counter_h; /* '/Counter' */ + DW_Counter_l Counter_i0; /* '/Counter' */ + boolean_T UnitDelay_DSTATE; /* '/UnitDelay' */ } DW_Debounce_Filter; /* Block signals and states (auto storage) for system '' */ typedef struct { DW_either_edge either_edge_a; /* '/either_edge' */ DW_Debounce_Filter Debounce_Filter_f;/* '/Debounce_Filter' */ - DW_PI_clamp_fixdt PI_clamp_fixdt_iq; /* '/PI_clamp_fixdt_iq' */ - DW_PI_clamp_fixdt_c PI_clamp_fixdt_n_o;/* '/PI_clamp_fixdt_n' */ - DW_Low_Pass_Filter Low_Pass_Filter_m;/* '/Low_Pass_Filter' */ - DW_PI_clamp_fixdt PI_clamp_fixdt_id; /* '/PI_clamp_fixdt_id' */ - DW_Counter Counter_e; /* '/Counter' */ - int32_T UnitDelay_DSTATE; /* '/UnitDelay' */ - int16_T Gain4[3]; /* '/Gain4' */ + DW_I_backCalc_fixdt I_backCalc_fixdt_g;/* '/I_backCalc_fixdt' */ + DW_I_backCalc_fixdt I_backCalc_fixdt1;/* '/I_backCalc_fixdt1' */ + DW_I_backCalc_fixdt I_backCalc_fixdt_i;/* '/I_backCalc_fixdt' */ + DW_Low_Pass_Filter Low_Pass_Filter_m;/* '/Low_Pass_Filter' */ + DW_PI_clamp_fixdt PI_clamp_fixdt_a; /* '/PI_clamp_fixdt' */ + DW_PI_clamp_fixdt PI_clamp_fixdt_o; /* '/PI_clamp_fixdt' */ + DW_PI_clamp_fixdt PI_clamp_fixdt_k; /* '/PI_clamp_fixdt' */ + DW_Counter Counter_e; /* '/Counter' */ + int32_T Divide1; /* '/Divide1' */ + int32_T UnitDelay_DSTATE; /* '/UnitDelay' */ + int16_T Gain4[3]; /* '/Gain4' */ int16_T Sum1[2]; /* '/Sum1' */ - int16_T z_counterRawPrev; /* '/z_counterRawPrev' */ - int16_T Merge; /* '/Merge' */ - int16_T Divide1; /* '/Divide1' */ - int16_T Divide4; /* '/Divide4' */ - int16_T Switch1; /* '/Switch1' */ - int16_T Divide11; /* '/Divide11' */ - int16_T UnitDelay3_DSTATE; /* '/UnitDelay3' */ - int16_T UnitDelay4_DSTATE; /* '/UnitDelay4' */ - int16_T UnitDelay2_DSTATE; /* '/UnitDelay2' */ - int16_T UnitDelay3_DSTATE_o; /* '/UnitDelay3' */ - int16_T UnitDelay5_DSTATE; /* '/UnitDelay5' */ - int16_T UnitDelay4_DSTATE_e; /* '/UnitDelay4' */ - int16_T UnitDelay4_DSTATE_eu; /* '/UnitDelay4' */ - int8_T Switch2; /* '/Switch2' */ - int8_T UnitDelay2_DSTATE_b; /* '/UnitDelay2' */ - int8_T If2_ActiveSubsystem; /* '/If2' */ - int8_T If2_ActiveSubsystem_j; /* '/If2' */ + int16_T z_counterRawPrev; /* '/z_counterRawPrev' */ + int16_T Merge1; /* '/Merge1' */ + int16_T Divide3; /* '/Divide3' */ + int16_T Vd_max1; /* '/Vd_max1' */ + int16_T Gain3; /* '/Gain3' */ + int16_T Vq_max_M1; /* '/Vq_max_M1' */ + int16_T Gain5; /* '/Gain5' */ + int16_T i_max; /* '/i_max' */ + int16_T Divide1_a; /* '/Divide1' */ + int16_T Gain1; /* '/Gain1' */ + int16_T Gain4_c; /* '/Gain4' */ + int16_T Switch2; /* '/Switch2' */ + int16_T Switch2_l; /* '/Switch2' */ + int16_T Switch2_c; /* '/Switch2' */ + int16_T Merge; /* '/Merge' */ + int16_T Switch1; /* '/Switch1' */ + int16_T Divide11; /* '/Divide11' */ + int16_T UnitDelay3_DSTATE; /* '/UnitDelay3' */ + int16_T UnitDelay4_DSTATE; /* '/UnitDelay4' */ + int16_T UnitDelay2_DSTATE; /* '/UnitDelay2' */ + int16_T UnitDelay3_DSTATE_o; /* '/UnitDelay3' */ + int16_T UnitDelay5_DSTATE; /* '/UnitDelay5' */ + int16_T UnitDelay4_DSTATE_e; /* '/UnitDelay4' */ + int16_T UnitDelay4_DSTATE_eu; /* '/UnitDelay4' */ + int16_T UnitDelay4_DSTATE_h; /* '/UnitDelay4' */ + int8_T Switch2_e; /* '/Switch2' */ + int8_T UnitDelay2_DSTATE_b; /* '/UnitDelay2' */ + int8_T If4_ActiveSubsystem; /* '/If4' */ int8_T If1_ActiveSubsystem; /* '/If1' */ - int8_T If2_ActiveSubsystem_a; /* '/If2' */ - int8_T If1_ActiveSubsystem_e; /* '/If1' */ - int8_T If1_ActiveSubsystem_f; /* '/If1' */ - int8_T If2_ActiveSubsystem_c; /* '/If2' */ - int8_T SwitchCase_ActiveSubsystem; /* '/Switch Case' */ - uint8_T UnitDelay3_DSTATE_fy; /* '/UnitDelay3' */ - uint8_T UnitDelay1_DSTATE; /* '/UnitDelay1' */ - uint8_T UnitDelay2_DSTATE_f; /* '/UnitDelay2' */ - uint8_T UnitDelay1_DSTATE_p; /* '/UnitDelay1' */ - uint8_T UnitDelay_DSTATE_c; /* '/UnitDelay' */ + int8_T If2_ActiveSubsystem; /* '/If2' */ + int8_T If2_ActiveSubsystem_a; /* '/If2' */ + uint8_T z_ctrlMod; /* '/F03_02_Control_Mode_Manager' */ + uint8_T UnitDelay3_DSTATE_fy; /* '/UnitDelay3' */ + uint8_T UnitDelay1_DSTATE; /* '/UnitDelay1' */ + uint8_T UnitDelay2_DSTATE_f; /* '/UnitDelay2' */ uint8_T is_active_c1_BLDC_controller;/* '/F03_02_Control_Mode_Manager' */ uint8_T is_c1_BLDC_controller; /* '/F03_02_Control_Mode_Manager' */ uint8_T is_ACTIVE; /* '/F03_02_Control_Mode_Manager' */ - boolean_T Merge_n; /* '/Merge' */ - boolean_T dz_cntTrnsDet; /* '/dz_cntTrnsDet' */ - boolean_T UnitDelay_DSTATE_e; /* '/UnitDelay' */ - boolean_T UnitDelay1_DSTATE_n; /* '/UnitDelay1' */ - boolean_T n_commDeacv_Mode; /* '/n_commDeacv' */ - boolean_T n_fieldWeakAuth_Mode; /* '/n_fieldWeakAuth' */ - boolean_T n_fieldWeakAuth_Mode_m; /* '/n_fieldWeakAuth' */ - boolean_T dz_cntTrnsDet_Mode; /* '/dz_cntTrnsDet' */ + boolean_T Merge_n; /* '/Merge' */ + boolean_T dz_cntTrnsDet; /* '/dz_cntTrnsDet' */ + boolean_T UnitDelay2_DSTATE_g; /* '/UnitDelay2' */ + boolean_T UnitDelay5_DSTATE_l; /* '/UnitDelay5' */ + boolean_T UnitDelay6_DSTATE; /* '/UnitDelay6' */ + boolean_T UnitDelay_DSTATE_e; /* '/UnitDelay' */ + boolean_T UnitDelay1_DSTATE_n; /* '/UnitDelay1' */ + boolean_T n_commDeacv_Mode; /* '/n_commDeacv' */ + boolean_T dz_cntTrnsDet_Mode; /* '/dz_cntTrnsDet' */ } DW; /* Constant parameters (auto storage) */ typedef struct { /* Computed Parameter: r_sin3PhaA_M1_Table - * Referenced by: '/r_sin3PhaA_M1' + * Referenced by: '/r_sin3PhaA_M1' */ int16_T r_sin3PhaA_M1_Table[181]; /* Computed Parameter: r_sin3PhaB_M1_Table - * Referenced by: '/r_sin3PhaB_M1' + * Referenced by: '/r_sin3PhaB_M1' */ int16_T r_sin3PhaB_M1_Table[181]; /* Computed Parameter: r_sin3PhaC_M1_Table - * Referenced by: '/r_sin3PhaC_M1' + * Referenced by: '/r_sin3PhaC_M1' */ int16_T r_sin3PhaC_M1_Table[181]; /* Computed Parameter: r_sin_M1_Table - * Referenced by: '/r_sin_M1' + * Referenced by: '/r_sin_M1' */ int16_T r_sin_M1_Table[181]; /* Computed Parameter: r_cos_M1_Table - * Referenced by: '/r_cos_M1' + * Referenced by: '/r_cos_M1' */ int16_T r_cos_M1_Table[181]; /* Computed Parameter: iq_maxSca_M1_Table - * Referenced by: '/iq_maxSca_M1' + * Referenced by: '/iq_maxSca_M1' */ uint16_T iq_maxSca_M1_Table[50]; /* Computed Parameter: z_commutMap_M1_table - * Referenced by: '/z_commutMap_M1' + * Referenced by: '/z_commutMap_M1' */ int8_T z_commutMap_M1_table[18]; /* Computed Parameter: vec_hallToPos_Value - * Referenced by: '/vec_hallToPos' + * Referenced by: '/vec_hallToPos' */ int8_T vec_hallToPos_Value[8]; } ConstP; @@ -194,24 +206,24 @@ typedef struct { /* Parameters (auto storage) */ struct P_ { int32_T dV_openRate; /* Variable: dV_openRate - * Referenced by: '/dV_openRate' + * Referenced by: '/dV_openRate' */ int16_T dz_cntTrnsDetHi; /* Variable: dz_cntTrnsDetHi - * Referenced by: '/dz_cntTrnsDet' + * Referenced by: '/dz_cntTrnsDet' */ int16_T dz_cntTrnsDetLo; /* Variable: dz_cntTrnsDetLo - * Referenced by: '/dz_cntTrnsDet' + * Referenced by: '/dz_cntTrnsDet' */ int16_T z_maxCntRst; /* Variable: z_maxCntRst * Referenced by: - * '/Counter' - * '/z_maxCntRst' - * '/z_maxCntRst2' - * '/UnitDelay3' - * '/z_counter' + * '/Counter' + * '/z_maxCntRst' + * '/z_maxCntRst2' + * '/UnitDelay3' + * '/z_counter' */ uint16_T cf_speedCoef; /* Variable: cf_speedCoef - * Referenced by: '/cf_speedCoef' + * Referenced by: '/cf_speedCoef' */ uint16_T t_errDequal; /* Variable: t_errDequal * Referenced by: '/t_errDequal' @@ -221,88 +233,90 @@ struct P_ { */ int16_T Vd_max; /* Variable: Vd_max * Referenced by: - * '/Vd_max1' - * '/Vd_max' + * '/Vd_max1' + * '/Vd_max' */ int16_T Vq_max_M1[46]; /* Variable: Vq_max_M1 - * Referenced by: '/Vq_max_M1' + * Referenced by: '/Vq_max_M1' */ int16_T Vq_max_XA[46]; /* Variable: Vq_max_XA - * Referenced by: '/Vq_max_XA' + * Referenced by: '/Vq_max_XA' + */ + int16_T a_phaAdvMax; /* Variable: a_phaAdvMax + * Referenced by: '/a_phaAdvMax' */ int16_T i_max; /* Variable: i_max * Referenced by: - * '/i_max' - * '/i_max' + * '/i_max' + * '/i_max' */ - int16_T id_fieldWeak_M1[12]; /* Variable: id_fieldWeak_M1 - * Referenced by: '/id_fieldWeak_M1' + int16_T id_fieldWeakMax; /* Variable: id_fieldWeakMax + * Referenced by: '/id_fieldWeakMax' */ int16_T n_commAcvLo; /* Variable: n_commAcvLo - * Referenced by: '/n_commDeacv' + * Referenced by: '/n_commDeacv' */ int16_T n_commDeacvHi; /* Variable: n_commDeacvHi - * Referenced by: '/n_commDeacv' + * Referenced by: '/n_commDeacv' */ int16_T n_fieldWeakAuthHi; /* Variable: n_fieldWeakAuthHi - * Referenced by: - * '/n_fieldWeakAuth' - * '/n_fieldWeakAuth' + * Referenced by: '/n_fieldWeakAuthHi' */ int16_T n_fieldWeakAuthLo; /* Variable: n_fieldWeakAuthLo - * Referenced by: - * '/n_fieldWeakAuth' - * '/n_fieldWeakAuth' + * Referenced by: '/n_fieldWeakAuthLo' */ int16_T n_max; /* Variable: n_max * Referenced by: - * '/n_max1' - * '/n_max' + * '/n_max1' + * '/n_max' */ int16_T n_stdStillDet; /* Variable: n_stdStillDet - * Referenced by: '/n_stdStillDet' + * Referenced by: '/n_stdStillDet' */ int16_T r_errInpTgtThres; /* Variable: r_errInpTgtThres * Referenced by: '/r_errInpTgtThres' */ - int16_T r_fieldWeak_XA[12]; /* Variable: r_fieldWeak_XA - * Referenced by: '/r_fieldWeak_XA' + int16_T r_fieldWeakHi; /* Variable: r_fieldWeakHi + * Referenced by: '/r_fieldWeakHi' */ - int16_T r_phaAdv_XA[11]; /* Variable: r_phaAdv_XA - * Referenced by: '/r_phaAdv_XA' + int16_T r_fieldWeakLo; /* Variable: r_fieldWeakLo + * Referenced by: '/r_fieldWeakLo' + */ + uint16_T cf_KbLimProt; /* Variable: cf_KbLimProt + * Referenced by: + * '/cf_KbLimProt' + * '/cf_KbLimProt' */ uint16_T cf_idKp; /* Variable: cf_idKp - * Referenced by: '/cf_idKp1' + * Referenced by: '/cf_idKp1' */ uint16_T cf_iqKp; /* Variable: cf_iqKp - * Referenced by: '/cf_iqKp' + * Referenced by: '/cf_iqKp' */ uint16_T cf_nKp; /* Variable: cf_nKp - * Referenced by: '/cf_nKp' + * Referenced by: '/cf_nKp' */ uint16_T cf_currFilt; /* Variable: cf_currFilt - * Referenced by: '/cf_currFilt' + * Referenced by: '/cf_currFilt' */ uint16_T cf_idKi; /* Variable: cf_idKi - * Referenced by: '/cf_idKi1' + * Referenced by: '/cf_idKi1' */ uint16_T cf_iqKi; /* Variable: cf_iqKi - * Referenced by: '/cf_iqKi' + * Referenced by: '/cf_iqKi' */ uint16_T cf_iqKiLimProt; /* Variable: cf_iqKiLimProt - * Referenced by: '/cf_iqKiLimProt' + * Referenced by: + * '/cf_iqKiLimProt' + * '/cf_iqKiLimProt' */ uint16_T cf_nKi; /* Variable: cf_nKi - * Referenced by: '/cf_nKi' + * Referenced by: '/cf_nKi' */ - uint16_T cf_iqKpLimProt; /* Variable: cf_iqKpLimProt - * Referenced by: '/cf_iqKpLimProt' - */ - uint16_T cf_nKpLimProt; /* Variable: cf_nKpLimProt - * Referenced by: '/cf_nKpLimProt' - */ - int16_T a_phaAdv_M1[11]; /* Variable: a_phaAdv_M1 - * Referenced by: '/a_phaAdv_M1' + uint16_T cf_nKiLimProt; /* Variable: cf_nKiLimProt + * Referenced by: + * '/cf_nKiLimProt' + * '/cf_nKiLimProt' */ uint8_T z_ctrlTypSel; /* Variable: z_ctrlTypSel * Referenced by: '/z_ctrlTypSel1' @@ -312,11 +326,11 @@ struct P_ { */ boolean_T b_fieldWeakEna; /* Variable: b_fieldWeakEna * Referenced by: - * '/b_fieldWeakEna' - * '/b_fieldWeakEna' + * '/b_fieldWeakEna' + * '/b_fieldWeakEna' */ boolean_T b_selPhaABCurrMeas; /* Variable: b_selPhaABCurrMeas - * Referenced by: '/b_selPhaABCurrMeas' + * Referenced by: '/b_selPhaABCurrMeas' */ }; @@ -341,24 +355,33 @@ extern void BLDC_controller_step(RT_MODEL *const rtM); /*- * These blocks were eliminated from the model due to optimizations: * - * Block '/Scope2' : Unused code path elimination - * Block '/Scope' : Unused code path elimination - * Block '/Data Type Duplicate' : Unused code path elimination - * Block '/Data Type Propagation' : Unused code path elimination - * Block '/Data Type Duplicate' : Unused code path elimination - * Block '/Data Type Propagation' : Unused code path elimination - * Block '/Data Type Duplicate' : Unused code path elimination - * Block '/Data Type Propagation' : Unused code path elimination - * Block '/Scope12' : Unused code path elimination - * Block '/Scope8' : Unused code path elimination - * Block '/Scope9' : Unused code path elimination - * Block '/Data Type Duplicate' : Unused code path elimination - * Block '/Data Type Propagation' : Unused code path elimination - * Block '/Data Type Duplicate' : Unused code path elimination - * Block '/Data Type Propagation' : Unused code path elimination - * Block '/Data Type Duplicate' : Unused code path elimination - * Block '/Data Type Propagation' : Unused code path elimination - * Block '/Scope' : Unused code path elimination + * Block '/Scope2' : Unused code path elimination + * Block '/Scope' : Unused code path elimination + * Block '/Data Type Duplicate' : Unused code path elimination + * Block '/Data Type Propagation' : Unused code path elimination + * Block '/Data Type Duplicate' : Unused code path elimination + * Block '/Data Type Propagation' : Unused code path elimination + * Block '/Data Type Duplicate' : Unused code path elimination + * Block '/Data Type Propagation' : Unused code path elimination + * Block '/Data Type Duplicate' : Unused code path elimination + * Block '/Data Type Propagation' : Unused code path elimination + * Block '/Data Type Duplicate' : Unused code path elimination + * Block '/Data Type Propagation' : Unused code path elimination + * Block '/Data Type Duplicate' : Unused code path elimination + * Block '/Data Type Propagation' : Unused code path elimination + * Block '/Data Type Duplicate' : Unused code path elimination + * Block '/Data Type Propagation' : Unused code path elimination + * Block '/Data Type Duplicate' : Unused code path elimination + * Block '/Data Type Propagation' : Unused code path elimination + * Block '/Data Type Duplicate' : Unused code path elimination + * Block '/Data Type Propagation' : Unused code path elimination + * Block '/Data Type Duplicate' : Unused code path elimination + * Block '/Data Type Propagation' : Unused code path elimination + * Block '/Scope12' : Unused code path elimination + * Block '/Scope8' : Unused code path elimination + * Block '/Scope9' : Unused code path elimination + * Block '/Scope' : Unused code path elimination + * Block '/Data Type Conversion' : Eliminate redundant data type conversion */ /*- @@ -383,76 +406,90 @@ extern void BLDC_controller_step(RT_MODEL *const rtM); * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations' * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics' * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Control_Type_Management' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_01_Edge_Detector' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_02_Position_Calculation' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_03_Direction_Detection' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_04_Speed_Estimation' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_05_Electrical_Angle_Estimation' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_04_Speed_Estimation/Counter' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_04_Speed_Estimation/Raw_Motor_Speed_Estimation' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_04_Speed_Estimation/Counter/rst_Delay' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/either_edge' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Default' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Dequalification' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Qualification' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/either_edge' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Dequalification/Counter' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Dequalification/Counter/rst_Delay' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Qualification/Counter' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Qualification/Counter/rst_Delay' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_01_Mode_Transition_Calculation' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_02_Control_Mode_Manager' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Default_Control_Type' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Default_Mode' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/FOC_Control_Type' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Open_Mode' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Open_Mode/Rate_Limiter' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Open_Mode/rising_edge_init' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Open_Mode/Rate_Limiter/Delay_Init1' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Open_Mode/Rate_Limiter/Saturation Dynamic' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Clarke_Transform' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Current_Filtering' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Field_Weakening' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Inv_Clarke_Transform' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Inv_Park_Transform' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Motor_Limitations' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Open_Mode' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Park_Transform' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Speed_Mode' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Torque_Mode' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Vd_Calculation' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Voltage_Mode' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Clarke_Transform/Clarke_PhasesAB' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Clarke_Transform/Clarke_PhasesBC' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Current_Filtering/Low_Pass_Filter' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Motor_Limitations/Current_Limit_Protection' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Motor_Limitations/Speed_Limit_Protection' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Motor_Limitations/Current_Limit_Protection/Saturation Dynamic' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Motor_Limitations/Speed_Limit_Protection/Saturation Dynamic1' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Speed_Mode/PI_clamp_fixdt_n' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Speed_Mode/PI_clamp_fixdt_n/Clamping_circuit' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Speed_Mode/PI_clamp_fixdt_n/Integrator' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Speed_Mode/PI_clamp_fixdt_n/Saturation_hit' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Torque_Mode/PI_clamp_fixdt_iq' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Torque_Mode/Saturation Dynamic' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Torque_Mode/PI_clamp_fixdt_iq/Clamping_circuit' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Torque_Mode/PI_clamp_fixdt_iq/Integrator' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Torque_Mode/PI_clamp_fixdt_iq/Saturation_hit' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Vd_Calculation/PI_clamp_fixdt_id' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Vd_Calculation/Saturation Dynamic' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Vd_Calculation/PI_clamp_fixdt_id/Clamping_circuit' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Vd_Calculation/PI_clamp_fixdt_id/Integrator' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Vd_Calculation/PI_clamp_fixdt_id/Saturation_hit' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Oriented_Control/Voltage_Mode/Saturation Dynamic1' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Control_Type_Management/F05_00_COM_Method' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Control_Type_Management/F05_01_SIN_Method' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Control_Type_Management/F05_02_FOC_Method' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Control_Type_Management/F05_01_SIN_Method/Phase_Advance_Calculation' - * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Control_Type_Management/F05_01_SIN_Method/Phase_Advance_Calculation/Modulo_fixdt' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Weakening' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F06_Control_Type_Management' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/Task_Scheduler' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_01_Edge_Detector' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_02_Position_Calculation' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_03_Direction_Detection' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_04_Speed_Estimation' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_05_Electrical_Angle_Estimation' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_04_Speed_Estimation/Counter' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_04_Speed_Estimation/Raw_Motor_Speed_Estimation' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F01_Estimations/F01_04_Speed_Estimation/Counter/rst_Delay' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/either_edge' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Default' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Dequalification' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Qualification' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/either_edge' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Dequalification/Counter' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Dequalification/Counter/rst_Delay' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Qualification/Counter' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F02_Diagnostics/Debounce_Filter/Qualification/Counter/rst_Delay' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_01_Mode_Transition_Calculation' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_02_Control_Mode_Manager' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Default_Control_Type' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Default_Mode' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/FOC_Control_Type' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Open_Mode' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Open_Mode/Rate_Limiter' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Open_Mode/rising_edge_init' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Open_Mode/Rate_Limiter/Delay_Init1' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F03_Control_Mode_Manager/F03_03_Input_Target_Synthesis/Open_Mode/Rate_Limiter/Saturation Dynamic' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Weakening/Saturation Dynamic' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F04_Field_Weakening/Saturation Dynamic1' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Clarke_Transform' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Current_Filtering' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Inv_Clarke_Transform' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Inv_Park_Transform' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Park_Transform' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Sine_Cosine_Approximation' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Clarke_Transform/Clarke_PhasesAB' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Clarke_Transform/Clarke_PhasesBC' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Current_Filtering/Low_Pass_Filter' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Open_Mode' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Speed_Mode' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Torque_Mode' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Vd_Calculation' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Voltage_Mode' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Speed_Mode/PI_clamp_fixdt' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Speed_Mode/PI_clamp_fixdt/Clamping_circuit' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Speed_Mode/PI_clamp_fixdt/Integrator' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Speed_Mode/PI_clamp_fixdt/Saturation_hit' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Torque_Mode/PI_clamp_fixdt' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Torque_Mode/Saturation Dynamic1' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Torque_Mode/PI_clamp_fixdt/Clamping_circuit' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Torque_Mode/PI_clamp_fixdt/Integrator' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Torque_Mode/PI_clamp_fixdt/Saturation_hit' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Vd_Calculation/PI_clamp_fixdt' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Vd_Calculation/Saturation Dynamic' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Vd_Calculation/PI_clamp_fixdt/Clamping_circuit' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Vd_Calculation/PI_clamp_fixdt/Integrator' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Vd_Calculation/PI_clamp_fixdt/Saturation_hit' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/FOC/Voltage_Mode/Saturation Dynamic1' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Speed_Mode_Protection' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Torque_Mode_Protection' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Voltage_Mode_Protection' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Speed_Mode_Protection/Saturation Dynamic' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Torque_Mode_Protection/I_backCalc_fixdt' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Torque_Mode_Protection/I_backCalc_fixdt/Integrator' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Torque_Mode_Protection/I_backCalc_fixdt/Saturation Dynamic1' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Voltage_Mode_Protection/I_backCalc_fixdt' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Voltage_Mode_Protection/I_backCalc_fixdt1' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Voltage_Mode_Protection/I_backCalc_fixdt/Integrator' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Voltage_Mode_Protection/I_backCalc_fixdt/Saturation Dynamic1' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Voltage_Mode_Protection/I_backCalc_fixdt1/Integrator' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F05_Field_Oriented_Control/Motor_Limitations/Voltage_Mode_Protection/I_backCalc_fixdt1/Saturation Dynamic1' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F06_Control_Type_Management/COM_Method' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F06_Control_Type_Management/FOC_Method' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F06_Control_Type_Management/SIN_Method' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F06_Control_Type_Management/SIN_Method/Final_Phase_Advance_Calculation' + * '' : 'BLDCmotorControl_FOC_R2017b_fixdt/BLDC_controller/F06_Control_Type_Management/SIN_Method/Final_Phase_Advance_Calculation/Modulo_fixdt' */ #endif /* RTW_HEADER_BLDC_controller_h_ */ diff --git a/Inc/config.h b/Inc/config.h index 00e4eee..21f9d42 100644 --- a/Inc/config.h +++ b/Inc/config.h @@ -123,11 +123,11 @@ * Make, flash and test it. */ #define CONTROL_ADC // use ADC as input. disable CONTROL_SERIAL_USART2, FEEDBACK_SERIAL_USART2, DEBUG_SERIAL_USART2! -#define ADC1_MID_POT // ADC1 middle resting poti: comment-out if NOT a middle resting poti -#define ADC2_MID_POT // ADC2 middle resting poti: comment-out if NOT a middle resting poti +// #define ADC1_MID_POT // ADC1 middle resting poti: comment-out if NOT a middle resting poti #define ADC1_MIN 0 // min ADC1-value while poti at minimum-position (0 - 4095) #define ADC1_MID 1963 // mid ADC1-value while poti at minimum-position (ADC1_MIN - ADC1_MAX) #define ADC1_MAX 4095 // max ADC1-value while poti at maximum-position (0 - 4095) +// #define ADC2_MID_POT // ADC2 middle resting poti: comment-out if NOT a middle resting poti #define ADC2_MIN 0 // min ADC2-value while poti at minimum-position (0 - 4095) #define ADC2_MID 2006 // mid ADC2-value while poti at minimum-position (ADC2_MIN - ADC2_MAX) #define ADC2_MAX 4095 // max ADC2-value while poti at maximum-position (0 - 4095) @@ -141,39 +141,55 @@ // #define CONTROL_NUNCHUCK // use nunchuck as input. disable FEEDBACK_SERIAL_USART3, DEBUG_SERIAL_USART3! -// ############################### MOTOR CONTROL (overwrite) ######################### +// ############################### MOTOR CONTROL ######################### +// Control selections #define CTRL_TYP_SEL 2 // [-] Control type selection: 0 = Commutation , 1 = Sinusoidal, 2 = FOC Field Oriented Control (default) #define CTRL_MOD_REQ 1 // [-] Control mode request: 0 = Open mode, 1 = VOLTAGE mode (default), 2 = SPEED mode, 3 = TORQUE mode. Note: SPEED and TORQUE modes are only available for FOC! #define DIAG_ENA 1 // [-] Motor Diagnostics enable flag: 0 = Disabled, 1 = Enabled (default) -#define FIELD_WEAK_ENA 0 // [-] Field Weakening enable flag: 0 = Disabled (default), 1 = Enabled -#define I_MOT_MAX (15 * A2BIT_CONV) << 4 // [A] Maximum motor current limit (Change only the first number, the rest is needed for fixed-point conversion, fixdt(1,16,4)) -#define I_DC_MAX (17 * A2BIT_CONV) // [A] Maximum DC Link current limit (This is the final current protection. Above this value, current chopping is applied. To avoid this make sure that I_DC_MAX = I_MOT_MAX + 2A ) -#define N_MOT_MAX 800 << 4 // [rpm] Maximum motor speed (change only the first number, the rest is needed for fixed-point conversion, fixdt(1,16,4)) +// Limitation settings +#define I_MOT_MAX 15 // [A] Maximum motor current limit +#define I_DC_MAX 17 // [A] Maximum DC Link current limit (This is the final current protection. Above this value, current chopping is applied. To avoid this make sure that I_DC_MAX = I_MOT_MAX + 2A) +#define N_MOT_MAX 1000 // [rpm] Maximum motor speed limit + +// Field Weakening / Phase Advance +#define FIELD_WEAK_ENA 0 // [-] Field Weakening / Phase Advance enable flag: 0 = Disabled (default), 1 = Enabled +#define FIELD_WEAK_MAX 5 // [A] Maximum Field Weakening D axis current (only for FOC). Higher current results in higher maximum speed. +#define PHASE_ADV_MAX 25 // [deg] Maximum Phase Advance angle (only for SIN). Higher angle results in higher maximum speed. +#define FIELD_WEAK_HI 1500 // [-] Input target High threshold for reaching maximum Field Weakening / Phase Advance. Do NOT set this higher than 1500. +#define FIELD_WEAK_LO 1000 // [-] Input target Low threshold for starting Field Weakening / Phase Advance. Do NOT set this higher than 1000. + +// Data checks - Do NOT touch +#if (FIELD_WEAK_ENA == 0) + #undef FIELD_WEAK_HI + #define FIELD_WEAK_HI 1000 // [-] This prevents the input target going beyond 1000 when Field Weakening is not enabled +#endif +#define INPUT_MAX MAX( 1000, FIELD_WEAK_HI) // [-] Defines the Input target maximum limitation +#define INPUT_MIN MIN(-1000,-FIELD_WEAK_HI) // [-] Defines the Input target minimum limitation +#define INPUT_MID INPUT_MAX / 2 /* GENERAL NOTES: * 1. The above parameters are over-writing the default motor parameters. For all the available parameters check BLDC_controller_data.c * 2. The parameters are represented in fixed point data type for a more efficient code execution * 3. For calibrating the fixed-point parameters use the Fixed-Point Viewer tool (see ) * 4. For more details regarding the parameters and the working principle of the controller please consult the Simulink model - * 5. A webview was created, so Matlab/Simulink installation is not needed, unless you want to regenerate the code + * 5. A webview was created, so Matlab/Simulink installation is not needed, unless you want to regenerate the code. The webview is an html page that can be opened with browsers like: Microsoft Internet Explorer or Microsoft Edge * - * NOTES Field weakening: - * 1. In BLDC_controller_data.c you can find the field weakening Map as a function of input target: MAP = id_fieldWeak_M1, XAXIS = r_fieldWeak_XA - * 2. The default calibration was experimentally calibrated to my particular needs - * 3. If you re-calibrate the field weakening map please take all the safety measures! The motors can spin very fast! - * 4. During the recalibration make sure the values in XAXIS are equally spaced for a correct Map interpolation. + * NOTES Field Weakening / Phase Advance: + * 1. The Field Weakening is a linear interpolation from 0 to FIELD_WEAK_MAX or PHASE_ADV_MAX (depeding if FOC or SIN is selected, respectively) + * 2. The Field Weakening starts engaging at FIELD_WEAK_LO and reaches the maximum value at FIELD_WEAK_HI + * 3. If you re-calibrate the Field Weakening please take all the safety measures! The motors can spin very fast! */ // ############################### DRIVING BEHAVIOR ############################### /* Inputs: - * - cmd1 and cmd2: analog normalized input values. -1000 to 1000 + * - cmd1 and cmd2: analog normalized input values. INPUT_MIN to INPUT_MAX * - button1 and button2: digital input values. 0 or 1 * - adc_buffer.l_tx2 and adc_buffer.l_rx2: unfiltered ADC values (you do not need them). 0 to 4095 * Outputs: - * - speedR and speedL: normal driving -1000 to 1000 + * - speedR and speedL: normal driving INPUT_MIN to INPUT_MAX */ // Value of RATE is in fixdt(1,16,4): VAL_fixedPoint = VAL_floatingPoint * 2^4. In this case 480 = 30 * 2^4 diff --git a/Inc/rtwtypes.h b/Inc/rtwtypes.h index 51b2686..0731f04 100644 --- a/Inc/rtwtypes.h +++ b/Inc/rtwtypes.h @@ -3,9 +3,9 @@ * * Code generated for Simulink model 'BLDC_controller'. * - * Model version : 1.1212 + * Model version : 1.1249 * Simulink Coder version : 8.13 (R2017b) 24-Jul-2017 - * C/C++ source code generated on : Sat Nov 30 08:54:28 2019 + * C/C++ source code generated on : Thu Dec 12 20:22:31 2019 * * Target selection: ert.tlc * Embedded hardware selection: ARM Compatible->ARM Cortex diff --git a/Src/BLDC_controller.c b/Src/BLDC_controller.c index 561ee33..54551bf 100644 --- a/Src/BLDC_controller.c +++ b/Src/BLDC_controller.c @@ -3,9 +3,9 @@ * * Code generated for Simulink model 'BLDC_controller'. * - * Model version : 1.1212 + * Model version : 1.1249 * Simulink Coder version : 8.13 (R2017b) 24-Jul-2017 - * C/C++ source code generated on : Sat Nov 30 08:54:28 2019 + * C/C++ source code generated on : Thu Dec 12 20:22:31 2019 * * Target selection: ert.tlc * Embedded hardware selection: ARM Compatible->ARM Cortex @@ -97,32 +97,26 @@ uint8_T plook_u8s16_evencka(int16_T u, int16_T bp0, uint16_T bpSpace, uint32_T maxIndex); uint8_T plook_u8u16_evencka(uint16_T u, uint16_T bp0, uint16_T bpSpace, uint32_T maxIndex); -uint8_T plook_u8s16u8n7_evenc_s(int16_T u, int16_T bp0, uint16_T bpSpace, - uint32_T maxIndex, uint8_T *fraction); -int16_T intrp1d_s16s32s32u8u8n7l_s(uint8_T bpIndex, uint8_T frac, const int16_T - table[]); int32_T div_nde_s32_floor(int32_T numerator, int32_T denominator); extern void Counter_Init(DW_Counter *localDW, int16_T rtp_z_cntInit); extern int16_T Counter(int16_T rtu_inc, int16_T rtu_max, boolean_T rtu_rst, DW_Counter *localDW); -extern void PI_clamp_fixdt_Reset(DW_PI_clamp_fixdt *localDW); extern void PI_clamp_fixdt(int16_T rtu_err, uint16_T rtu_P, uint16_T rtu_I, int16_T rtu_satMax, int16_T rtu_satMin, int32_T rtu_ext_limProt, int16_T *rty_out, DW_PI_clamp_fixdt *localDW); extern void Low_Pass_Filter_Reset(DW_Low_Pass_Filter *localDW); extern void Low_Pass_Filter(const int16_T rtu_u[2], uint16_T rtu_coef, int16_T rty_y[2], DW_Low_Pass_Filter *localDW); -extern void PI_clamp_fixdt_n_Reset(DW_PI_clamp_fixdt_c *localDW); -extern int16_T PI_clamp_fixdt_n(int16_T rtu_err, uint16_T rtu_P, uint16_T rtu_I, - int16_T rtu_satMax, int16_T rtu_satMin, int16_T rtu_ext_limProt, - DW_PI_clamp_fixdt_c *localDW); +extern void I_backCalc_fixdt_Init(DW_I_backCalc_fixdt *localDW, int32_T + rtp_yInit); +extern void I_backCalc_fixdt(int16_T rtu_err, uint16_T rtu_I, uint16_T rtu_Kb, + int16_T rtu_satMax, int16_T rtu_satMin, int16_T *rty_out, DW_I_backCalc_fixdt * + localDW); extern void Counter_b_Init(DW_Counter_l *localDW, uint16_T rtp_z_cntInit); extern uint16_T Counter_i(uint16_T rtu_inc, uint16_T rtu_max, boolean_T rtu_rst, DW_Counter_l *localDW); -extern void either_edge_Reset(DW_either_edge *localDW); extern boolean_T either_edge(boolean_T rtu_u, DW_either_edge *localDW); extern void Debounce_Filter_Init(DW_Debounce_Filter *localDW); -extern void Debounce_Filter_Reset(DW_Debounce_Filter *localDW); extern void Debounce_Filter(boolean_T rtu_u, uint16_T rtu_tAcv, uint16_T rtu_tDeacv, boolean_T *rty_y, DW_Debounce_Filter *localDW); uint8_T plook_u8s16_evencka(int16_T u, int16_T bp0, uint16_T bpSpace, uint32_T @@ -179,79 +173,29 @@ uint8_T plook_u8u16_evencka(uint16_T u, uint16_T bp0, uint16_T bpSpace, uint32_T return bpIndex; } -uint8_T plook_u8s16u8n7_evenc_s(int16_T u, int16_T bp0, uint16_T bpSpace, - uint32_T maxIndex, uint8_T *fraction) -{ - uint8_T bpIndex; - uint16_T uAdjust; - uint16_T fbpIndex; - - /* Prelookup - Index and Fraction - Index Search method: 'even' - Extrapolation method: 'Clip' - Use previous index: 'off' - Use last breakpoint for index at or above upper limit: 'off' - Remove protection against out-of-range input in generated code: 'off' - Rounding mode: 'simplest' - */ - if (u <= bp0) { - bpIndex = 0U; - *fraction = 0U; - } else { - uAdjust = (uint16_T)(u - bp0); - fbpIndex = (uint16_T)((uint32_T)uAdjust / bpSpace); - if (fbpIndex < maxIndex) { - bpIndex = (uint8_T)fbpIndex; - *fraction = (uint8_T)(((uint32_T)(uint16_T)((uint32_T)uAdjust - (uint16_T) - ((uint32_T)bpIndex * bpSpace)) << 7) / bpSpace); - } else { - bpIndex = (uint8_T)(maxIndex - 1U); - *fraction = 128U; - } - } - - return bpIndex; -} - -int16_T intrp1d_s16s32s32u8u8n7l_s(uint8_T bpIndex, uint8_T frac, const int16_T - table[]) -{ - uint32_T offset_0d; - - /* Interpolation 1-D - Interpolation method: 'Linear' - Use last breakpoint for index at or above upper limit: 'off' - Rounding mode: 'simplest' - Overflow mode: 'wrapping' - */ - offset_0d = bpIndex; - return (int16_T)((int16_T)(((table[offset_0d + 1U] - table[offset_0d]) * frac) - >> 7) + table[offset_0d]); -} - int32_T div_nde_s32_floor(int32_T numerator, int32_T denominator) { return (((numerator < 0) != (denominator < 0)) && (numerator % denominator != 0) ? -1 : 0) + numerator / denominator; } -/* System initialize for atomic system: '/Counter' */ +/* System initialize for atomic system: '/Counter' */ void Counter_Init(DW_Counter *localDW, int16_T rtp_z_cntInit) { - /* InitializeConditions for UnitDelay: '/UnitDelay' */ + /* InitializeConditions for UnitDelay: '/UnitDelay' */ localDW->UnitDelay_DSTATE = rtp_z_cntInit; } -/* Output and update for atomic system: '/Counter' */ +/* Output and update for atomic system: '/Counter' */ int16_T Counter(int16_T rtu_inc, int16_T rtu_max, boolean_T rtu_rst, DW_Counter * localDW) { int16_T rtu_rst_0; int16_T rty_cnt_0; - /* Switch: '/Switch1' incorporates: - * Constant: '/Constant23' - * UnitDelay: '/UnitDelay' + /* Switch: '/Switch1' incorporates: + * Constant: '/Constant23' + * UnitDelay: '/UnitDelay' */ if (rtu_rst) { rtu_rst_0 = 0; @@ -259,56 +203,43 @@ int16_T Counter(int16_T rtu_inc, int16_T rtu_max, boolean_T rtu_rst, DW_Counter rtu_rst_0 = localDW->UnitDelay_DSTATE; } - /* End of Switch: '/Switch1' */ + /* End of Switch: '/Switch1' */ - /* Sum: '/Sum1' */ + /* Sum: '/Sum1' */ rty_cnt_0 = (int16_T)(rtu_inc + rtu_rst_0); - /* MinMax: '/MinMax' */ + /* MinMax: '/MinMax' */ if (rty_cnt_0 < rtu_max) { - /* Update for UnitDelay: '/UnitDelay' */ + /* Update for UnitDelay: '/UnitDelay' */ localDW->UnitDelay_DSTATE = rty_cnt_0; } else { - /* Update for UnitDelay: '/UnitDelay' */ + /* Update for UnitDelay: '/UnitDelay' */ localDW->UnitDelay_DSTATE = rtu_max; } - /* End of MinMax: '/MinMax' */ + /* End of MinMax: '/MinMax' */ return rty_cnt_0; } -/* - * System reset for atomic system: - * '/PI_clamp_fixdt_id' - * '/PI_clamp_fixdt_iq' - */ -void PI_clamp_fixdt_Reset(DW_PI_clamp_fixdt *localDW) -{ - /* InitializeConditions for UnitDelay: '/UnitDelay1' */ - localDW->UnitDelay1_DSTATE = false; - - /* InitializeConditions for UnitDelay: '/UnitDelay' */ - localDW->UnitDelay_DSTATE = 0; -} - /* * Output and update for atomic system: - * '/PI_clamp_fixdt_id' - * '/PI_clamp_fixdt_iq' + * '/PI_clamp_fixdt' + * '/PI_clamp_fixdt' + * '/PI_clamp_fixdt' */ void PI_clamp_fixdt(int16_T rtu_err, uint16_T rtu_P, uint16_T rtu_I, int16_T rtu_satMax, int16_T rtu_satMin, int32_T rtu_ext_limProt, int16_T *rty_out, DW_PI_clamp_fixdt *localDW) { - boolean_T rtb_LowerRelop1_e; - boolean_T rtb_UpperRelop_f; - int32_T rtb_Sum1_b4; + boolean_T rtb_LowerRelop1_c; + boolean_T rtb_UpperRelop_e; + int32_T rtb_Sum1_n; int32_T q0; int32_T tmp; int16_T tmp_0; - /* Sum: '/Sum2' incorporates: - * Product: '/Divide2' + /* Sum: '/Sum2' incorporates: + * Product: '/Divide2' */ q0 = rtu_err * rtu_I; if ((q0 < 0) && (rtu_ext_limProt < MIN_int32_T - q0)) { @@ -319,10 +250,10 @@ void PI_clamp_fixdt(int16_T rtu_err, uint16_T rtu_P, uint16_T rtu_I, int16_T q0 += rtu_ext_limProt; } - /* Switch: '/Switch1' incorporates: - * Constant: '/a_elecPeriod1' - * Sum: '/Sum2' - * UnitDelay: '/UnitDelay1' + /* Switch: '/Switch1' incorporates: + * Constant: '/Constant' + * Sum: '/Sum2' + * UnitDelay: '/UnitDelay1' */ if (localDW->UnitDelay1_DSTATE) { tmp = 0; @@ -330,14 +261,14 @@ void PI_clamp_fixdt(int16_T rtu_err, uint16_T rtu_P, uint16_T rtu_I, int16_T tmp = q0; } - /* End of Switch: '/Switch1' */ + /* End of Switch: '/Switch1' */ - /* Sum: '/Sum1' incorporates: - * UnitDelay: '/UnitDelay' + /* Sum: '/Sum1' incorporates: + * UnitDelay: '/UnitDelay' */ - rtb_Sum1_b4 = tmp + localDW->UnitDelay_DSTATE; + rtb_Sum1_n = tmp + localDW->UnitDelay_DSTATE; - /* Product: '/Divide5' */ + /* Product: '/Divide5' */ tmp = (rtu_err * rtu_P) >> 11; if (tmp > 32767) { tmp = 32767; @@ -347,11 +278,11 @@ void PI_clamp_fixdt(int16_T rtu_err, uint16_T rtu_P, uint16_T rtu_I, int16_T } } - /* Sum: '/Sum1' incorporates: - * DataTypeConversion: '/Data Type Conversion1' - * Product: '/Divide5' + /* Sum: '/Sum1' incorporates: + * DataTypeConversion: '/Data Type Conversion1' + * Product: '/Divide5' */ - tmp = (((rtb_Sum1_b4 >> 16) << 1) + tmp) >> 1; + tmp = (((rtb_Sum1_n >> 16) << 1) + tmp) >> 1; if (tmp > 32767) { tmp = 32767; } else { @@ -360,33 +291,33 @@ void PI_clamp_fixdt(int16_T rtu_err, uint16_T rtu_P, uint16_T rtu_I, int16_T } } - /* RelationalOperator: '/LowerRelop1' incorporates: - * Sum: '/Sum1' + /* RelationalOperator: '/LowerRelop1' incorporates: + * Sum: '/Sum1' */ - rtb_LowerRelop1_e = ((int16_T)tmp > rtu_satMax); + rtb_LowerRelop1_c = ((int16_T)tmp > rtu_satMax); - /* RelationalOperator: '/UpperRelop' incorporates: - * Sum: '/Sum1' + /* RelationalOperator: '/UpperRelop' incorporates: + * Sum: '/Sum1' */ - rtb_UpperRelop_f = ((int16_T)tmp < rtu_satMin); + rtb_UpperRelop_e = ((int16_T)tmp < rtu_satMin); - /* Switch: '/Switch1' incorporates: - * Sum: '/Sum1' - * Switch: '/Switch3' + /* Switch: '/Switch1' incorporates: + * Sum: '/Sum1' + * Switch: '/Switch3' */ - if (rtb_LowerRelop1_e) { + if (rtb_LowerRelop1_c) { *rty_out = rtu_satMax; - } else if (rtb_UpperRelop_f) { - /* Switch: '/Switch3' */ + } else if (rtb_UpperRelop_e) { + /* Switch: '/Switch3' */ *rty_out = rtu_satMin; } else { *rty_out = (int16_T)tmp; } - /* End of Switch: '/Switch1' */ + /* End of Switch: '/Switch1' */ - /* Signum: '/SignDeltaU2' incorporates: - * Sum: '/Sum2' + /* Signum: '/SignDeltaU2' incorporates: + * Sum: '/Sum2' */ if (q0 < 0) { q0 = -1; @@ -394,10 +325,10 @@ void PI_clamp_fixdt(int16_T rtu_err, uint16_T rtu_P, uint16_T rtu_I, int16_T q0 = (q0 > 0); } - /* End of Signum: '/SignDeltaU2' */ + /* End of Signum: '/SignDeltaU2' */ - /* Signum: '/SignDeltaU3' incorporates: - * Sum: '/Sum1' + /* Signum: '/SignDeltaU3' incorporates: + * Sum: '/Sum1' */ if ((int16_T)tmp < 0) { tmp_0 = -1; @@ -405,22 +336,22 @@ void PI_clamp_fixdt(int16_T rtu_err, uint16_T rtu_P, uint16_T rtu_I, int16_T tmp_0 = (int16_T)((int16_T)tmp > 0); } - /* End of Signum: '/SignDeltaU3' */ + /* End of Signum: '/SignDeltaU3' */ - /* Update for UnitDelay: '/UnitDelay1' incorporates: - * DataTypeConversion: '/DataTypeConv4' - * Logic: '/AND1' - * Logic: '/AND1' - * RelationalOperator: '/Equal1' + /* Update for UnitDelay: '/UnitDelay1' incorporates: + * DataTypeConversion: '/DataTypeConv4' + * Logic: '/AND1' + * Logic: '/AND1' + * RelationalOperator: '/Equal1' */ - localDW->UnitDelay1_DSTATE = ((q0 == tmp_0) && (rtb_LowerRelop1_e || - rtb_UpperRelop_f)); + localDW->UnitDelay1_DSTATE = ((q0 == tmp_0) && (rtb_LowerRelop1_c || + rtb_UpperRelop_e)); - /* Update for UnitDelay: '/UnitDelay' */ - localDW->UnitDelay_DSTATE = rtb_Sum1_b4; + /* Update for UnitDelay: '/UnitDelay' */ + localDW->UnitDelay_DSTATE = rtb_Sum1_n; } -/* System reset for atomic system: '/Low_Pass_Filter' */ +/* System reset for atomic system: '/Low_Pass_Filter' */ void Low_Pass_Filter_Reset(DW_Low_Pass_Filter *localDW) { /* InitializeConditions for UnitDelay: '/UnitDelay3' */ @@ -428,7 +359,7 @@ void Low_Pass_Filter_Reset(DW_Low_Pass_Filter *localDW) localDW->UnitDelay3_DSTATE[1] = 0; } -/* Output and update for atomic system: '/Low_Pass_Filter' */ +/* Output and update for atomic system: '/Low_Pass_Filter' */ void Low_Pass_Filter(const int16_T rtu_u[2], uint16_T rtu_coef, int16_T rty_y[2], DW_Low_Pass_Filter *localDW) { @@ -460,159 +391,98 @@ void Low_Pass_Filter(const int16_T rtu_u[2], uint16_T rtu_coef, int16_T rty_y[2] localDW->UnitDelay3_DSTATE[1] = rty_y[1]; } -/* System reset for atomic system: '/PI_clamp_fixdt_n' */ -void PI_clamp_fixdt_n_Reset(DW_PI_clamp_fixdt_c *localDW) +/* + * System initialize for atomic system: + * '/I_backCalc_fixdt' + * '/I_backCalc_fixdt1' + * '/I_backCalc_fixdt' + */ +void I_backCalc_fixdt_Init(DW_I_backCalc_fixdt *localDW, int32_T rtp_yInit) { - /* InitializeConditions for UnitDelay: '/UnitDelay1' */ - localDW->UnitDelay1_DSTATE = false; - - /* InitializeConditions for UnitDelay: '/UnitDelay' */ - localDW->UnitDelay_DSTATE = 0; + /* InitializeConditions for UnitDelay: '/UnitDelay' */ + localDW->UnitDelay_DSTATE_h = rtp_yInit; } -/* Output and update for atomic system: '/PI_clamp_fixdt_n' */ -int16_T PI_clamp_fixdt_n(int16_T rtu_err, uint16_T rtu_P, uint16_T rtu_I, - int16_T rtu_satMax, int16_T rtu_satMin, int16_T rtu_ext_limProt, - DW_PI_clamp_fixdt_c *localDW) +/* + * Output and update for atomic system: + * '/I_backCalc_fixdt' + * '/I_backCalc_fixdt1' + * '/I_backCalc_fixdt' + */ +void I_backCalc_fixdt(int16_T rtu_err, uint16_T rtu_I, uint16_T rtu_Kb, int16_T + rtu_satMax, int16_T rtu_satMin, int16_T *rty_out, + DW_I_backCalc_fixdt *localDW) { - boolean_T rtb_LowerRelop1_ge; - boolean_T rtb_UpperRelop_o; - int32_T rtb_Sum1_mz; - int32_T q0; - int32_T q1; - int16_T tmp; - int16_T rty_out_0; + int32_T rtb_Sum1_e0; + int16_T rtb_DataTypeConversion1_no; - /* Sum: '/Sum2' incorporates: - * Product: '/Divide2' + /* Sum: '/Sum2' incorporates: + * Product: '/Divide2' + * UnitDelay: '/UnitDelay' */ - q0 = rtu_err * rtu_I; - q1 = rtu_ext_limProt << 10; - if ((q0 < 0) && (q1 < MIN_int32_T - q0)) { - q0 = MIN_int32_T; - } else if ((q0 > 0) && (q1 > MAX_int32_T - q0)) { - q0 = MAX_int32_T; + rtb_Sum1_e0 = (rtu_err * rtu_I) >> 4; + if ((rtb_Sum1_e0 < 0) && (localDW->UnitDelay_DSTATE < MIN_int32_T + - rtb_Sum1_e0)) { + rtb_Sum1_e0 = MIN_int32_T; + } else if ((rtb_Sum1_e0 > 0) && (localDW->UnitDelay_DSTATE > MAX_int32_T + - rtb_Sum1_e0)) { + rtb_Sum1_e0 = MAX_int32_T; } else { - q0 += q1; + rtb_Sum1_e0 += localDW->UnitDelay_DSTATE; } - /* Switch: '/Switch1' incorporates: - * Constant: '/a_elecPeriod1' - * Sum: '/Sum2' - * UnitDelay: '/UnitDelay1' + /* End of Sum: '/Sum2' */ + + /* Sum: '/Sum1' incorporates: + * UnitDelay: '/UnitDelay' */ - if (localDW->UnitDelay1_DSTATE) { - q1 = 0; + rtb_Sum1_e0 += localDW->UnitDelay_DSTATE_h; + + /* DataTypeConversion: '/Data Type Conversion1' */ + rtb_DataTypeConversion1_no = (int16_T)(rtb_Sum1_e0 >> 12); + + /* Switch: '/Switch2' incorporates: + * RelationalOperator: '/LowerRelop1' + * RelationalOperator: '/UpperRelop' + * Switch: '/Switch' + */ + if (rtb_DataTypeConversion1_no > rtu_satMax) { + *rty_out = rtu_satMax; + } else if (rtb_DataTypeConversion1_no < rtu_satMin) { + /* Switch: '/Switch' */ + *rty_out = rtu_satMin; } else { - q1 = q0; + *rty_out = rtb_DataTypeConversion1_no; } - /* End of Switch: '/Switch1' */ + /* End of Switch: '/Switch2' */ - /* Sum: '/Sum1' incorporates: - * UnitDelay: '/UnitDelay' + /* Update for UnitDelay: '/UnitDelay' incorporates: + * Product: '/Divide1' + * Sum: '/Sum3' */ - rtb_Sum1_mz = q1 + localDW->UnitDelay_DSTATE; + localDW->UnitDelay_DSTATE = (int16_T)(*rty_out - rtb_DataTypeConversion1_no) * + rtu_Kb; - /* Product: '/Divide5' */ - q1 = (rtu_err * rtu_P) >> 11; - if (q1 > 32767) { - q1 = 32767; - } else { - if (q1 < -32768) { - q1 = -32768; - } - } - - /* Sum: '/Sum1' incorporates: - * DataTypeConversion: '/Data Type Conversion1' - * Product: '/Divide5' - */ - q1 = (((rtb_Sum1_mz >> 16) << 1) + q1) >> 1; - if (q1 > 32767) { - q1 = 32767; - } else { - if (q1 < -32768) { - q1 = -32768; - } - } - - /* RelationalOperator: '/LowerRelop1' incorporates: - * Sum: '/Sum1' - */ - rtb_LowerRelop1_ge = ((int16_T)q1 > rtu_satMax); - - /* RelationalOperator: '/UpperRelop' incorporates: - * Sum: '/Sum1' - */ - rtb_UpperRelop_o = ((int16_T)q1 < rtu_satMin); - - /* Switch: '/Switch1' incorporates: - * Sum: '/Sum1' - * Switch: '/Switch3' - */ - if (rtb_LowerRelop1_ge) { - rty_out_0 = rtu_satMax; - } else if (rtb_UpperRelop_o) { - /* Switch: '/Switch3' */ - rty_out_0 = rtu_satMin; - } else { - rty_out_0 = (int16_T)q1; - } - - /* End of Switch: '/Switch1' */ - - /* Signum: '/SignDeltaU2' incorporates: - * Sum: '/Sum2' - */ - if (q0 < 0) { - q0 = -1; - } else { - q0 = (q0 > 0); - } - - /* End of Signum: '/SignDeltaU2' */ - - /* Signum: '/SignDeltaU3' incorporates: - * Sum: '/Sum1' - */ - if ((int16_T)q1 < 0) { - tmp = -1; - } else { - tmp = (int16_T)((int16_T)q1 > 0); - } - - /* End of Signum: '/SignDeltaU3' */ - - /* Update for UnitDelay: '/UnitDelay1' incorporates: - * DataTypeConversion: '/DataTypeConv4' - * Logic: '/AND1' - * Logic: '/AND1' - * RelationalOperator: '/Equal1' - */ - localDW->UnitDelay1_DSTATE = ((q0 == tmp) && (rtb_LowerRelop1_ge || - rtb_UpperRelop_o)); - - /* Update for UnitDelay: '/UnitDelay' */ - localDW->UnitDelay_DSTATE = rtb_Sum1_mz; - return rty_out_0; + /* Update for UnitDelay: '/UnitDelay' */ + localDW->UnitDelay_DSTATE_h = rtb_Sum1_e0; } /* * System initialize for atomic system: - * '/Counter' - * '/Counter' + * '/Counter' + * '/Counter' */ void Counter_b_Init(DW_Counter_l *localDW, uint16_T rtp_z_cntInit) { - /* InitializeConditions for UnitDelay: '/UnitDelay' */ + /* InitializeConditions for UnitDelay: '/UnitDelay' */ localDW->UnitDelay_DSTATE = rtp_z_cntInit; } /* * Output and update for atomic system: - * '/Counter' - * '/Counter' + * '/Counter' + * '/Counter' */ uint16_T Counter_i(uint16_T rtu_inc, uint16_T rtu_max, boolean_T rtu_rst, DW_Counter_l *localDW) @@ -620,9 +490,9 @@ uint16_T Counter_i(uint16_T rtu_inc, uint16_T rtu_max, boolean_T rtu_rst, uint16_T rtu_rst_0; uint16_T rty_cnt_0; - /* Switch: '/Switch1' incorporates: - * Constant: '/Constant23' - * UnitDelay: '/UnitDelay' + /* Switch: '/Switch1' incorporates: + * Constant: '/Constant23' + * UnitDelay: '/UnitDelay' */ if (rtu_rst) { rtu_rst_0 = 0U; @@ -630,50 +500,39 @@ uint16_T Counter_i(uint16_T rtu_inc, uint16_T rtu_max, boolean_T rtu_rst, rtu_rst_0 = localDW->UnitDelay_DSTATE; } - /* End of Switch: '/Switch1' */ + /* End of Switch: '/Switch1' */ - /* Sum: '/Sum1' */ + /* Sum: '/Sum1' */ rty_cnt_0 = (uint16_T)((uint32_T)rtu_inc + rtu_rst_0); - /* MinMax: '/MinMax' */ + /* MinMax: '/MinMax' */ if (rty_cnt_0 < rtu_max) { - /* Update for UnitDelay: '/UnitDelay' */ + /* Update for UnitDelay: '/UnitDelay' */ localDW->UnitDelay_DSTATE = rty_cnt_0; } else { - /* Update for UnitDelay: '/UnitDelay' */ + /* Update for UnitDelay: '/UnitDelay' */ localDW->UnitDelay_DSTATE = rtu_max; } - /* End of MinMax: '/MinMax' */ + /* End of MinMax: '/MinMax' */ return rty_cnt_0; } -/* - * System reset for atomic system: - * '/either_edge' - * '/either_edge' - */ -void either_edge_Reset(DW_either_edge *localDW) -{ - /* InitializeConditions for UnitDelay: '/UnitDelay' */ - localDW->UnitDelay_DSTATE = false; -} - /* * Output and update for atomic system: - * '/either_edge' + * '/either_edge' * '/either_edge' */ boolean_T either_edge(boolean_T rtu_u, DW_either_edge *localDW) { boolean_T rty_y_0; - /* RelationalOperator: '/Relational Operator' incorporates: - * UnitDelay: '/UnitDelay' + /* RelationalOperator: '/Relational Operator' incorporates: + * UnitDelay: '/UnitDelay' */ rty_y_0 = (rtu_u != localDW->UnitDelay_DSTATE); - /* Update for UnitDelay: '/UnitDelay' */ + /* Update for UnitDelay: '/UnitDelay' */ localDW->UnitDelay_DSTATE = rtu_u; return rty_y_0; } @@ -681,107 +540,98 @@ boolean_T either_edge(boolean_T rtu_u, DW_either_edge *localDW) /* System initialize for atomic system: '/Debounce_Filter' */ void Debounce_Filter_Init(DW_Debounce_Filter *localDW) { - /* SystemInitialize for IfAction SubSystem: '/Qualification' */ + /* SystemInitialize for IfAction SubSystem: '/Qualification' */ - /* SystemInitialize for Atomic SubSystem: '/Counter' */ + /* SystemInitialize for Atomic SubSystem: '/Counter' */ Counter_b_Init(&localDW->Counter_i0, 0U); - /* End of SystemInitialize for SubSystem: '/Counter' */ + /* End of SystemInitialize for SubSystem: '/Counter' */ - /* End of SystemInitialize for SubSystem: '/Qualification' */ + /* End of SystemInitialize for SubSystem: '/Qualification' */ - /* SystemInitialize for IfAction SubSystem: '/Dequalification' */ + /* SystemInitialize for IfAction SubSystem: '/Dequalification' */ - /* SystemInitialize for Atomic SubSystem: '/Counter' */ + /* SystemInitialize for Atomic SubSystem: '/Counter' */ Counter_b_Init(&localDW->Counter_h, 0U); - /* End of SystemInitialize for SubSystem: '/Counter' */ + /* End of SystemInitialize for SubSystem: '/Counter' */ - /* End of SystemInitialize for SubSystem: '/Dequalification' */ -} - -/* System reset for atomic system: '/Debounce_Filter' */ -void Debounce_Filter_Reset(DW_Debounce_Filter *localDW) -{ - /* InitializeConditions for UnitDelay: '/UnitDelay' */ - localDW->UnitDelay_DSTATE = false; - - /* SystemReset for Atomic SubSystem: '/either_edge' */ - either_edge_Reset(&localDW->either_edge_k); - - /* End of SystemReset for SubSystem: '/either_edge' */ + /* End of SystemInitialize for SubSystem: '/Dequalification' */ } /* Output and update for atomic system: '/Debounce_Filter' */ void Debounce_Filter(boolean_T rtu_u, uint16_T rtu_tAcv, uint16_T rtu_tDeacv, boolean_T *rty_y, DW_Debounce_Filter *localDW) { - uint16_T rtb_Sum1_l; + boolean_T rtb_UnitDelay_o; + uint16_T rtb_Sum1_g3; boolean_T rtb_RelationalOperator_f; - /* Outputs for Atomic SubSystem: '/either_edge' */ + /* UnitDelay: '/UnitDelay' */ + rtb_UnitDelay_o = localDW->UnitDelay_DSTATE; + + /* Outputs for Atomic SubSystem: '/either_edge' */ rtb_RelationalOperator_f = either_edge(rtu_u, &localDW->either_edge_k); - /* End of Outputs for SubSystem: '/either_edge' */ + /* End of Outputs for SubSystem: '/either_edge' */ - /* If: '/If2' incorporates: - * Constant: '/Constant6' - * Constant: '/Constant6' - * Inport: '/yPrev' - * Logic: '/Logical Operator1' - * Logic: '/Logical Operator2' - * Logic: '/Logical Operator3' - * Logic: '/Logical Operator4' - * UnitDelay: '/UnitDelay' + /* If: '/If2' incorporates: + * Constant: '/Constant6' + * Constant: '/Constant6' + * Inport: '/yPrev' + * Logic: '/Logical Operator1' + * Logic: '/Logical Operator2' + * Logic: '/Logical Operator3' + * Logic: '/Logical Operator4' */ - if (rtu_u && (!localDW->UnitDelay_DSTATE)) { - /* Outputs for IfAction SubSystem: '/Qualification' incorporates: - * ActionPort: '/Action Port' + if (rtu_u && (!rtb_UnitDelay_o)) { + /* Outputs for IfAction SubSystem: '/Qualification' incorporates: + * ActionPort: '/Action Port' */ - /* Outputs for Atomic SubSystem: '/Counter' */ - rtb_Sum1_l = (uint16_T) Counter_i(1U, rtu_tAcv, rtb_RelationalOperator_f, + /* Outputs for Atomic SubSystem: '/Counter' */ + rtb_Sum1_g3 = (uint16_T) Counter_i(1U, rtu_tAcv, rtb_RelationalOperator_f, &localDW->Counter_i0); - /* End of Outputs for SubSystem: '/Counter' */ + /* End of Outputs for SubSystem: '/Counter' */ - /* Switch: '/Switch2' incorporates: - * Constant: '/Constant6' - * RelationalOperator: '/Relational Operator2' + /* Switch: '/Switch2' incorporates: + * Constant: '/Constant6' + * RelationalOperator: '/Relational Operator2' */ - *rty_y = ((rtb_Sum1_l > rtu_tAcv) || localDW->UnitDelay_DSTATE); + *rty_y = (rtb_Sum1_g3 > rtu_tAcv); - /* End of Outputs for SubSystem: '/Qualification' */ - } else if ((!rtu_u) && localDW->UnitDelay_DSTATE) { - /* Outputs for IfAction SubSystem: '/Dequalification' incorporates: - * ActionPort: '/Action Port' + /* End of Outputs for SubSystem: '/Qualification' */ + } else if ((!rtu_u) && rtb_UnitDelay_o) { + /* Outputs for IfAction SubSystem: '/Dequalification' incorporates: + * ActionPort: '/Action Port' */ - /* Outputs for Atomic SubSystem: '/Counter' */ - rtb_Sum1_l = (uint16_T) Counter_i(1U, rtu_tDeacv, rtb_RelationalOperator_f, + /* Outputs for Atomic SubSystem: '/Counter' */ + rtb_Sum1_g3 = (uint16_T) Counter_i(1U, rtu_tDeacv, rtb_RelationalOperator_f, &localDW->Counter_h); - /* End of Outputs for SubSystem: '/Counter' */ + /* End of Outputs for SubSystem: '/Counter' */ - /* Switch: '/Switch2' incorporates: - * Constant: '/Constant6' - * RelationalOperator: '/Relational Operator2' + /* Switch: '/Switch2' incorporates: + * Constant: '/Constant6' + * RelationalOperator: '/Relational Operator2' */ - *rty_y = ((!(rtb_Sum1_l > rtu_tDeacv)) && localDW->UnitDelay_DSTATE); + *rty_y = !(rtb_Sum1_g3 > rtu_tDeacv); - /* End of Outputs for SubSystem: '/Dequalification' */ + /* End of Outputs for SubSystem: '/Dequalification' */ } else { - /* Outputs for IfAction SubSystem: '/Default' incorporates: - * ActionPort: '/Action Port' + /* Outputs for IfAction SubSystem: '/Default' incorporates: + * ActionPort: '/Action Port' */ - *rty_y = localDW->UnitDelay_DSTATE; + *rty_y = rtb_UnitDelay_o; - /* End of Outputs for SubSystem: '/Default' */ + /* End of Outputs for SubSystem: '/Default' */ } - /* End of If: '/If2' */ + /* End of If: '/If2' */ - /* Update for UnitDelay: '/UnitDelay' */ + /* Update for UnitDelay: '/UnitDelay' */ localDW->UnitDelay_DSTATE = *rty_y; } @@ -794,36 +644,33 @@ void BLDC_controller_step(RT_MODEL *const rtM) ExtY *rtY = (ExtY *) rtM->outputs; uint8_T rtb_Sum; boolean_T rtb_LogicalOperator; + boolean_T rtb_RelationalOperator9; int8_T rtb_Sum2_h; boolean_T rtb_RelationalOperator4_d; boolean_T rtb_RelationalOperator1_m; uint8_T rtb_Sum_l; - uint8_T rtb_r_fieldWeak_XA_o1; int16_T rtb_Switch2_k; int16_T rtb_Abs5; int16_T rtb_Switch2_fl; int16_T rtb_Switch1_l; + int16_T rtb_DataTypeConversion2; + int16_T rtb_Saturation1; + int16_T rtb_Switch2_l; int16_T rtb_Merge; - int16_T rtb_Merge1; + int16_T rtb_toNegative; int32_T rtb_DataTypeConversion; - int16_T rtb_Saturation; int32_T rtb_Switch1; int32_T rtb_Sum1; int32_T rtb_Gain3; - int16_T rtb_toNegative; - int16_T rtb_Gain4; - uint8_T rtb_r_fieldWeak_XA_o2; - int16_T rtb_Gain2_f; - int16_T rtb_id_fieldWeak_M1; - int16_T rtb_MinMax2; int16_T rtb_TmpSignalConversionAtLow_Pa[2]; int16_T tmp[4]; int8_T UnitDelay3; + int16_T rtb_Merge_f_idx_1; /* Outputs for Atomic SubSystem: '/BLDC_controller' */ - /* Sum: '/Sum' incorporates: - * Gain: '/g_Ha' - * Gain: '/g_Hb' + /* Sum: '/Sum' incorporates: + * Gain: '/g_Ha' + * Gain: '/g_Hb' * Inport: '/b_hallA ' * Inport: '/b_hallB' * Inport: '/b_hallC' @@ -831,85 +678,85 @@ void BLDC_controller_step(RT_MODEL *const rtM) rtb_Sum = (uint8_T)((uint32_T)(uint8_T)((uint32_T)(uint8_T)(rtU->b_hallA << 2) + (uint8_T)(rtU->b_hallB << 1)) + rtU->b_hallC); - /* Logic: '/Logical Operator' incorporates: + /* Logic: '/Logical Operator' incorporates: * Inport: '/b_hallA ' * Inport: '/b_hallB' * Inport: '/b_hallC' - * UnitDelay: '/UnitDelay1' - * UnitDelay: '/UnitDelay2' - * UnitDelay: '/UnitDelay3' + * UnitDelay: '/UnitDelay1' + * UnitDelay: '/UnitDelay2' + * UnitDelay: '/UnitDelay3' */ rtb_LogicalOperator = (boolean_T)((rtU->b_hallA != 0) ^ (rtU->b_hallB != 0) ^ (rtU->b_hallC != 0) ^ (rtDW->UnitDelay3_DSTATE_fy != 0) ^ (rtDW->UnitDelay1_DSTATE != 0)) ^ (rtDW->UnitDelay2_DSTATE_f != 0); - /* If: '/If2' incorporates: + /* If: '/If2' incorporates: * If: '/If2' - * Inport: '/z_counterRawPrev' - * UnitDelay: '/UnitDelay3' + * Inport: '/z_counterRawPrev' + * UnitDelay: '/UnitDelay3' */ if (rtb_LogicalOperator) { /* Outputs for IfAction SubSystem: '/F01_03_Direction_Detection' incorporates: - * ActionPort: '/Action Port' + * ActionPort: '/Action Port' */ - /* UnitDelay: '/UnitDelay3' */ - UnitDelay3 = rtDW->Switch2; + /* UnitDelay: '/UnitDelay3' */ + UnitDelay3 = rtDW->Switch2_e; - /* Sum: '/Sum2' incorporates: - * Constant: '/vec_hallToPos' - * Selector: '/Selector' - * UnitDelay: '/UnitDelay2' + /* Sum: '/Sum2' incorporates: + * Constant: '/vec_hallToPos' + * Selector: '/Selector' + * UnitDelay: '/UnitDelay2' */ rtb_Sum2_h = (int8_T)(rtConstP.vec_hallToPos_Value[rtb_Sum] - rtDW->UnitDelay2_DSTATE_b); - /* Switch: '/Switch2' incorporates: - * Constant: '/Constant20' - * Constant: '/Constant23' - * Constant: '/Constant24' - * Constant: '/Constant8' - * Logic: '/Logical Operator3' - * RelationalOperator: '/Relational Operator1' - * RelationalOperator: '/Relational Operator6' + /* Switch: '/Switch2' incorporates: + * Constant: '/Constant20' + * Constant: '/Constant23' + * Constant: '/Constant24' + * Constant: '/Constant8' + * Logic: '/Logical Operator3' + * RelationalOperator: '/Relational Operator1' + * RelationalOperator: '/Relational Operator6' */ if ((rtb_Sum2_h == 1) || (rtb_Sum2_h == -5)) { - rtDW->Switch2 = 1; + rtDW->Switch2_e = 1; } else { - rtDW->Switch2 = -1; + rtDW->Switch2_e = -1; } - /* End of Switch: '/Switch2' */ + /* End of Switch: '/Switch2' */ - /* Update for UnitDelay: '/UnitDelay2' incorporates: - * Constant: '/vec_hallToPos' - * Selector: '/Selector' + /* Update for UnitDelay: '/UnitDelay2' incorporates: + * Constant: '/vec_hallToPos' + * Selector: '/Selector' */ rtDW->UnitDelay2_DSTATE_b = rtConstP.vec_hallToPos_Value[rtb_Sum]; /* End of Outputs for SubSystem: '/F01_03_Direction_Detection' */ - /* Outputs for IfAction SubSystem: '/Raw_Motor_Speed_Estimation' incorporates: - * ActionPort: '/Action Port' + /* Outputs for IfAction SubSystem: '/Raw_Motor_Speed_Estimation' incorporates: + * ActionPort: '/Action Port' */ rtDW->z_counterRawPrev = rtDW->UnitDelay3_DSTATE; - /* Sum: '/Sum7' incorporates: - * Inport: '/z_counterRawPrev' - * UnitDelay: '/UnitDelay3' - * UnitDelay: '/UnitDelay4' + /* Sum: '/Sum7' incorporates: + * Inport: '/z_counterRawPrev' + * UnitDelay: '/UnitDelay3' + * UnitDelay: '/UnitDelay4' */ rtb_Switch2_k = (int16_T)(rtDW->z_counterRawPrev - rtDW->UnitDelay4_DSTATE); - /* Abs: '/Abs2' */ + /* Abs: '/Abs2' */ if (rtb_Switch2_k < 0) { rtb_Switch1_l = (int16_T)-rtb_Switch2_k; } else { rtb_Switch1_l = rtb_Switch2_k; } - /* End of Abs: '/Abs2' */ + /* End of Abs: '/Abs2' */ - /* Relay: '/dz_cntTrnsDet' */ + /* Relay: '/dz_cntTrnsDet' */ if (rtb_Switch1_l >= rtP->dz_cntTrnsDetHi) { rtDW->dz_cntTrnsDet_Mode = true; } else { @@ -920,92 +767,92 @@ void BLDC_controller_step(RT_MODEL *const rtM) rtDW->dz_cntTrnsDet = rtDW->dz_cntTrnsDet_Mode; - /* End of Relay: '/dz_cntTrnsDet' */ + /* End of Relay: '/dz_cntTrnsDet' */ - /* RelationalOperator: '/Relational Operator4' */ - rtb_RelationalOperator4_d = (rtDW->Switch2 != UnitDelay3); + /* RelationalOperator: '/Relational Operator4' */ + rtb_RelationalOperator4_d = (rtDW->Switch2_e != UnitDelay3); - /* Switch: '/Switch3' incorporates: - * Constant: '/Constant4' - * Logic: '/Logical Operator1' - * Switch: '/Switch1' - * Switch: '/Switch2' - * UnitDelay: '/UnitDelay1' + /* Switch: '/Switch3' incorporates: + * Constant: '/Constant4' + * Logic: '/Logical Operator1' + * Switch: '/Switch1' + * Switch: '/Switch2' + * UnitDelay: '/UnitDelay1' */ if (rtb_RelationalOperator4_d && rtDW->UnitDelay1_DSTATE_n) { rtb_Switch1_l = 0; } else if (rtb_RelationalOperator4_d) { - /* Switch: '/Switch2' incorporates: - * UnitDelay: '/UnitDelay4' + /* Switch: '/Switch2' incorporates: + * UnitDelay: '/UnitDelay4' */ rtb_Switch1_l = rtDW->UnitDelay4_DSTATE_e; } else if (rtDW->dz_cntTrnsDet) { - /* Switch: '/Switch1' incorporates: - * Constant: '/cf_speedCoef' - * Product: '/Divide14' - * Switch: '/Switch2' + /* Switch: '/Switch1' incorporates: + * Constant: '/cf_speedCoef' + * Product: '/Divide14' + * Switch: '/Switch2' */ rtb_Switch1_l = (int16_T)((rtP->cf_speedCoef << 4) / rtDW->z_counterRawPrev); } else { - /* Switch: '/Switch1' incorporates: - * Constant: '/cf_speedCoef' - * Gain: '/g_Ha' - * Product: '/Divide13' - * Sum: '/Sum13' - * Switch: '/Switch2' - * UnitDelay: '/UnitDelay2' - * UnitDelay: '/UnitDelay3' - * UnitDelay: '/UnitDelay5' + /* Switch: '/Switch1' incorporates: + * Constant: '/cf_speedCoef' + * Gain: '/g_Ha' + * Product: '/Divide13' + * Sum: '/Sum13' + * Switch: '/Switch2' + * UnitDelay: '/UnitDelay2' + * UnitDelay: '/UnitDelay3' + * UnitDelay: '/UnitDelay5' */ rtb_Switch1_l = (int16_T)(((uint16_T)(rtP->cf_speedCoef << 2) << 4) / (int16_T)(((rtDW->UnitDelay2_DSTATE + rtDW->UnitDelay3_DSTATE_o) + rtDW->UnitDelay5_DSTATE) + rtDW->z_counterRawPrev)); } - /* End of Switch: '/Switch3' */ + /* End of Switch: '/Switch3' */ - /* Product: '/Divide11' */ - rtDW->Divide11 = (int16_T)(rtb_Switch1_l * rtDW->Switch2); + /* Product: '/Divide11' */ + rtDW->Divide11 = (int16_T)(rtb_Switch1_l * rtDW->Switch2_e); - /* Update for UnitDelay: '/UnitDelay4' */ + /* Update for UnitDelay: '/UnitDelay4' */ rtDW->UnitDelay4_DSTATE = rtDW->z_counterRawPrev; - /* Update for UnitDelay: '/UnitDelay2' incorporates: - * UnitDelay: '/UnitDelay3' + /* Update for UnitDelay: '/UnitDelay2' incorporates: + * UnitDelay: '/UnitDelay3' */ rtDW->UnitDelay2_DSTATE = rtDW->UnitDelay3_DSTATE_o; - /* Update for UnitDelay: '/UnitDelay3' incorporates: - * UnitDelay: '/UnitDelay5' + /* Update for UnitDelay: '/UnitDelay3' incorporates: + * UnitDelay: '/UnitDelay5' */ rtDW->UnitDelay3_DSTATE_o = rtDW->UnitDelay5_DSTATE; - /* Update for UnitDelay: '/UnitDelay5' */ + /* Update for UnitDelay: '/UnitDelay5' */ rtDW->UnitDelay5_DSTATE = rtDW->z_counterRawPrev; - /* Update for UnitDelay: '/UnitDelay1' */ + /* Update for UnitDelay: '/UnitDelay1' */ rtDW->UnitDelay1_DSTATE_n = rtb_RelationalOperator4_d; - /* End of Outputs for SubSystem: '/Raw_Motor_Speed_Estimation' */ + /* End of Outputs for SubSystem: '/Raw_Motor_Speed_Estimation' */ } - /* End of If: '/If2' */ + /* End of If: '/If2' */ - /* Outputs for Atomic SubSystem: '/Counter' */ + /* Outputs for Atomic SubSystem: '/Counter' */ - /* Constant: '/Constant6' incorporates: - * Constant: '/z_maxCntRst2' + /* Constant: '/Constant6' incorporates: + * Constant: '/z_maxCntRst2' */ rtb_Switch1_l = (int16_T) Counter(1, rtP->z_maxCntRst, rtb_LogicalOperator, &rtDW->Counter_e); - /* End of Outputs for SubSystem: '/Counter' */ + /* End of Outputs for SubSystem: '/Counter' */ - /* Switch: '/Switch2' incorporates: - * Constant: '/Constant4' - * Constant: '/z_maxCntRst' - * RelationalOperator: '/Relational Operator2' + /* Switch: '/Switch2' incorporates: + * Constant: '/Constant4' + * Constant: '/z_maxCntRst' + * RelationalOperator: '/Relational Operator2' */ if (rtb_Switch1_l > rtP->z_maxCntRst) { rtb_Switch2_k = 0; @@ -1013,18 +860,18 @@ void BLDC_controller_step(RT_MODEL *const rtM) rtb_Switch2_k = rtDW->Divide11; } - /* End of Switch: '/Switch2' */ + /* End of Switch: '/Switch2' */ - /* Abs: '/Abs5' */ + /* Abs: '/Abs5' */ if (rtb_Switch2_k < 0) { rtb_Abs5 = (int16_T)-rtb_Switch2_k; } else { rtb_Abs5 = rtb_Switch2_k; } - /* End of Abs: '/Abs5' */ + /* End of Abs: '/Abs5' */ - /* Relay: '/n_commDeacv' */ + /* Relay: '/n_commDeacv' */ if (rtb_Abs5 >= rtP->n_commDeacvHi) { rtDW->n_commDeacv_Mode = true; } else { @@ -1033,56 +880,56 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - /* Logic: '/Logical Operator2' incorporates: - * Logic: '/Logical Operator1' - * Relay: '/n_commDeacv' + /* Logic: '/Logical Operator2' incorporates: + * Logic: '/Logical Operator1' + * Relay: '/n_commDeacv' */ rtb_LogicalOperator = (rtDW->n_commDeacv_Mode && (!rtDW->dz_cntTrnsDet)); - /* Switch: '/Switch2' incorporates: - * Constant: '/Constant16' - * Product: '/Divide1' - * Product: '/Divide3' - * RelationalOperator: '/Relational Operator7' - * Sum: '/Sum3' - * Switch: '/Switch3' + /* Switch: '/Switch2' incorporates: + * Constant: '/Constant16' + * Product: '/Divide1' + * Product: '/Divide3' + * RelationalOperator: '/Relational Operator7' + * Sum: '/Sum3' + * Switch: '/Switch3' */ if (rtb_LogicalOperator) { - /* MinMax: '/MinMax' */ + /* MinMax: '/MinMax' */ rtb_Switch2_fl = rtb_Switch1_l; if (!(rtb_Switch2_fl < rtDW->z_counterRawPrev)) { rtb_Switch2_fl = rtDW->z_counterRawPrev; } - /* End of MinMax: '/MinMax' */ + /* End of MinMax: '/MinMax' */ - /* Switch: '/Switch3' incorporates: - * Constant: '/Constant16' - * Constant: '/vec_hallToPos' - * RelationalOperator: '/Relational Operator7' - * Selector: '/Selector' - * Sum: '/Sum1' + /* Switch: '/Switch3' incorporates: + * Constant: '/vec_hallToPos' + * Constant: '/Constant16' + * RelationalOperator: '/Relational Operator7' + * Selector: '/Selector' + * Sum: '/Sum1' */ - if (rtDW->Switch2 == 1) { + if (rtDW->Switch2_e == 1) { rtb_Sum2_h = rtConstP.vec_hallToPos_Value[rtb_Sum]; } else { rtb_Sum2_h = (int8_T)(rtConstP.vec_hallToPos_Value[rtb_Sum] + 1); } rtb_Switch2_fl = (int16_T)(((int16_T)((int16_T)((rtb_Switch2_fl << 14) / - rtDW->z_counterRawPrev) * rtDW->Switch2) + (rtb_Sum2_h << 14)) >> 2); + rtDW->z_counterRawPrev) * rtDW->Switch2_e) + (rtb_Sum2_h << 14)) >> 2); } else { - if (rtDW->Switch2 == 1) { - /* Switch: '/Switch3' incorporates: - * Constant: '/vec_hallToPos' - * Selector: '/Selector' + if (rtDW->Switch2_e == 1) { + /* Switch: '/Switch3' incorporates: + * Constant: '/vec_hallToPos' + * Selector: '/Selector' */ rtb_Sum2_h = rtConstP.vec_hallToPos_Value[rtb_Sum]; } else { - /* Switch: '/Switch3' incorporates: - * Constant: '/vec_hallToPos' - * Selector: '/Selector' - * Sum: '/Sum1' + /* Switch: '/Switch3' incorporates: + * Constant: '/vec_hallToPos' + * Selector: '/Selector' + * Sum: '/Sum1' */ rtb_Sum2_h = (int8_T)(rtConstP.vec_hallToPos_Value[rtb_Sum] + 1); } @@ -1090,80 +937,49 @@ void BLDC_controller_step(RT_MODEL *const rtM) rtb_Switch2_fl = (int16_T)(rtb_Sum2_h << 12); } - /* End of Switch: '/Switch2' */ + /* End of Switch: '/Switch2' */ - /* MinMax: '/MinMax1' incorporates: - * Constant: '/Constant1' + /* MinMax: '/MinMax1' incorporates: + * Constant: '/Constant1' */ if (!(rtb_Switch2_fl > 0)) { rtb_Switch2_fl = 0; } - /* End of MinMax: '/MinMax1' */ + /* End of MinMax: '/MinMax1' */ - /* Product: '/Divide2' */ + /* Product: '/Divide2' */ rtb_Switch2_fl = (int16_T)((15 * rtb_Switch2_fl) >> 4); - /* RelationalOperator: '/Relational Operator9' incorporates: - * Constant: '/n_stdStillDet' + /* DataTypeConversion: '/Data Type Conversion2' incorporates: + * Inport: '/r_inpTgt' */ - rtb_RelationalOperator4_d = (rtb_Abs5 < rtP->n_stdStillDet); + if (rtU->r_inpTgt > 2047) { + rtb_DataTypeConversion2 = MAX_int16_T; + } else if (rtU->r_inpTgt <= -2048) { + rtb_DataTypeConversion2 = MIN_int16_T; + } else { + rtb_DataTypeConversion2 = (int16_T)(rtU->r_inpTgt << 4); + } + + /* UnitDelay: '/UnitDelay2' */ + rtb_RelationalOperator4_d = rtDW->UnitDelay2_DSTATE_g; + + /* RelationalOperator: '/Relational Operator9' incorporates: + * Constant: '/n_stdStillDet' + */ + rtb_RelationalOperator9 = (rtb_Abs5 < rtP->n_stdStillDet); /* If: '/If2' incorporates: * Constant: '/b_diagEna' * Constant: '/CTRL_COMM2' * Constant: '/t_errDequal' * Constant: '/t_errQual' + * Logic: '/Logical Operator2' * RelationalOperator: '/Relational Operator2' + * UnitDelay: '/UnitDelay2' */ - rtb_Sum2_h = rtDW->If2_ActiveSubsystem; - UnitDelay3 = -1; - if (rtP->b_diagEna) { - UnitDelay3 = 0; - } - - rtDW->If2_ActiveSubsystem = UnitDelay3; - if ((rtb_Sum2_h != UnitDelay3) && (rtb_Sum2_h == 0)) { - /* Disable for Outport: '/z_errCode' incorporates: - * Outport: '/z_errCode ' - */ - rtY->z_errCode = 0U; - - /* Disable for Outport: '/b_errFlag' */ - rtDW->Merge_n = false; - } - - if (UnitDelay3 == 0) { - if (0 != rtb_Sum2_h) { - /* InitializeConditions for IfAction SubSystem: '/F02_Diagnostics' incorporates: - * ActionPort: '/Action Port' - */ - /* InitializeConditions for If: '/If2' incorporates: - * UnitDelay: '/UnitDelay' - */ - rtDW->UnitDelay_DSTATE_c = 0U; - - /* End of InitializeConditions for SubSystem: '/F02_Diagnostics' */ - - /* SystemReset for IfAction SubSystem: '/F02_Diagnostics' incorporates: - * ActionPort: '/Action Port' - */ - - /* SystemReset for Atomic SubSystem: '/Debounce_Filter' */ - - /* SystemReset for If: '/If2' */ - Debounce_Filter_Reset(&rtDW->Debounce_Filter_f); - - /* End of SystemReset for SubSystem: '/Debounce_Filter' */ - - /* SystemReset for Atomic SubSystem: '/either_edge' */ - either_edge_Reset(&rtDW->either_edge_a); - - /* End of SystemReset for SubSystem: '/either_edge' */ - - /* End of SystemReset for SubSystem: '/F02_Diagnostics' */ - } - + if (rtP->b_diagEna && rtDW->UnitDelay2_DSTATE_g) { /* Outputs for IfAction SubSystem: '/F02_Diagnostics' incorporates: * ActionPort: '/Action Port' */ @@ -1175,25 +991,25 @@ void BLDC_controller_step(RT_MODEL *const rtM) * RelationalOperator: '/Relational Operator7' * S-Function (sfix_bitop): '/Bitwise Operator1' * UnitDelay: '/UnitDelay' - * UnitDelay: '/UnitDelay4' + * UnitDelay: '/UnitDelay4' */ - if ((rtDW->UnitDelay_DSTATE_c & 4) != 0) { + if ((rtY->z_errCode & 4) != 0) { rtb_RelationalOperator1_m = true; } else { if (rtDW->UnitDelay4_DSTATE_eu < 0) { /* Abs: '/Abs4' incorporates: - * UnitDelay: '/UnitDelay4' + * UnitDelay: '/UnitDelay4' */ - rtb_toNegative = (int16_T)-rtDW->UnitDelay4_DSTATE_eu; + rtb_Merge_f_idx_1 = (int16_T)-rtDW->UnitDelay4_DSTATE_eu; } else { /* Abs: '/Abs4' incorporates: - * UnitDelay: '/UnitDelay4' + * UnitDelay: '/UnitDelay4' */ - rtb_toNegative = rtDW->UnitDelay4_DSTATE_eu; + rtb_Merge_f_idx_1 = rtDW->UnitDelay4_DSTATE_eu; } - rtb_RelationalOperator1_m = ((rtb_toNegative > rtP->r_errInpTgtThres) && - rtb_RelationalOperator4_d); + rtb_RelationalOperator1_m = ((rtb_Merge_f_idx_1 > rtP->r_errInpTgtThres) && + rtb_RelationalOperator9); } /* End of Switch: '/Switch3' */ @@ -1230,319 +1046,329 @@ void BLDC_controller_step(RT_MODEL *const rtM) if (rtb_RelationalOperator1_m) { /* Outport: '/z_errCode' */ rtY->z_errCode = rtb_Sum_l; - } else { - /* Outport: '/z_errCode' incorporates: - * UnitDelay: '/UnitDelay' - */ - rtY->z_errCode = rtDW->UnitDelay_DSTATE_c; } /* End of Switch: '/Switch1' */ - - /* Update for UnitDelay: '/UnitDelay' incorporates: - * Outport: '/z_errCode' - */ - rtDW->UnitDelay_DSTATE_c = rtY->z_errCode; - /* End of Outputs for SubSystem: '/F02_Diagnostics' */ } /* End of If: '/If2' */ - /* Logic: '/Logical Operator4' incorporates: - * Constant: '/constant2' - * Constant: '/constant8' - * Inport: '/b_motEna' - * Inport: '/z_ctrlModReq' - * Logic: '/Logical Operator1' - * Logic: '/Logical Operator7' - * RelationalOperator: '/Relational Operator10' - * RelationalOperator: '/Relational Operator11' - * RelationalOperator: '/Relational Operator2' - * UnitDelay: '/UnitDelay1' + /* If: '/If4' incorporates: + * UnitDelay: '/UnitDelay2' */ - rtb_RelationalOperator1_m = ((!rtU->b_motEna) || rtDW->Merge_n || - (rtU->z_ctrlModReq == 0) || ((rtU->z_ctrlModReq != rtDW->UnitDelay1_DSTATE_p) - && (rtDW->UnitDelay1_DSTATE_p != 0))); - - /* Chart: '/F03_02_Control_Mode_Manager' incorporates: - * Constant: '/constant' - * Constant: '/constant1' - * Constant: '/constant5' - * Constant: '/constant6' - * Constant: '/constant7' - * Inport: '/z_ctrlModReq' - * Logic: '/Logical Operator3' - * Logic: '/Logical Operator6' - * Logic: '/Logical Operator9' - * RelationalOperator: '/Relational Operator1' - * RelationalOperator: '/Relational Operator3' - * RelationalOperator: '/Relational Operator4' - * RelationalOperator: '/Relational Operator5' - * RelationalOperator: '/Relational Operator6' - */ - if (rtDW->is_active_c1_BLDC_controller == 0U) { - rtDW->is_active_c1_BLDC_controller = 1U; - rtDW->is_c1_BLDC_controller = IN_OPEN; - rtb_Sum_l = OPEN_MODE; - } else if (rtDW->is_c1_BLDC_controller == IN_ACTIVE) { - if (rtb_RelationalOperator1_m) { - rtDW->is_ACTIVE = IN_NO_ACTIVE_CHILD; - rtDW->is_c1_BLDC_controller = IN_OPEN; - rtb_Sum_l = OPEN_MODE; - } else { - switch (rtDW->is_ACTIVE) { - case IN_SPEED_MODE: - rtb_Sum_l = SPD_MODE; - break; - - case IN_TORQUE_MODE: - rtb_Sum_l = TRQ_MODE; - break; - - default: - rtb_Sum_l = VLT_MODE; - break; - } - } - } else { - rtb_Sum_l = OPEN_MODE; - if ((!rtb_RelationalOperator1_m) && ((rtU->z_ctrlModReq == 1) || - (rtU->z_ctrlModReq == 2) || (rtU->z_ctrlModReq == 3)) && - rtb_RelationalOperator4_d) { - rtDW->is_c1_BLDC_controller = IN_ACTIVE; - if (rtU->z_ctrlModReq == 3) { - rtDW->is_ACTIVE = IN_TORQUE_MODE; - rtb_Sum_l = TRQ_MODE; - } else if (rtU->z_ctrlModReq == 2) { - rtDW->is_ACTIVE = IN_SPEED_MODE; - rtb_Sum_l = SPD_MODE; - } else { - rtDW->is_ACTIVE = IN_VOLTAGE_MODE; - rtb_Sum_l = VLT_MODE; - } - } + rtb_Sum2_h = rtDW->If4_ActiveSubsystem; + UnitDelay3 = -1; + if (rtDW->UnitDelay2_DSTATE_g) { + UnitDelay3 = 0; } - /* End of Chart: '/F03_02_Control_Mode_Manager' */ + rtDW->If4_ActiveSubsystem = UnitDelay3; + if ((rtb_Sum2_h != UnitDelay3) && (rtb_Sum2_h == 0)) { + /* Disable for If: '/If2' */ + rtDW->If2_ActiveSubsystem = -1; + } - /* Saturate: '/Saturation2' incorporates: - * Inport: '/r_inpTgt' - */ - rtb_Gain3 = rtU->r_inpTgt << 4; - - /* If: '/If1' incorporates: - * Constant: '/z_ctrlTypSel1' - * Inport: '/r_inpTgt' - * Inport: '/r_inpTgt' - * Saturate: '/Saturation2' - */ - if (rtP->z_ctrlTypSel == 2) { - /* Outputs for IfAction SubSystem: '/FOC_Control_Type' incorporates: - * ActionPort: '/Action Port' + if (UnitDelay3 == 0) { + /* Outputs for IfAction SubSystem: '/F03_Control_Mode_Manager' incorporates: + * ActionPort: '/Action Port' */ - /* SignalConversion: '/TmpSignal ConversionAtSelectorInport1' incorporates: - * Constant: '/Vd_max' - * Constant: '/constant1' - * Constant: '/i_max' - * Constant: '/n_max' - */ - tmp[0] = 0; - tmp[1] = rtP->Vd_max; - tmp[2] = rtP->n_max; - tmp[3] = rtP->i_max; - - /* End of Outputs for SubSystem: '/FOC_Control_Type' */ - - /* Saturate: '/Saturation2' incorporates: - * Inport: '/r_inpTgt' - */ - if (rtb_Gain3 >= 16000) { - rtb_toNegative = 16000; - } else if (rtb_Gain3 <= -16000) { - rtb_toNegative = -16000; - } else { - rtb_toNegative = (int16_T)(rtU->r_inpTgt << 4); - } - - /* Outputs for IfAction SubSystem: '/FOC_Control_Type' incorporates: - * ActionPort: '/Action Port' - */ - /* Product: '/Divide1' incorporates: + /* Logic: '/Logical Operator4' incorporates: + * Constant: '/constant2' + * Constant: '/constant8' + * Inport: '/b_motEna' * Inport: '/z_ctrlModReq' - * Product: '/Divide4' - * Selector: '/Selector' + * Logic: '/Logical Operator1' + * Logic: '/Logical Operator7' + * RelationalOperator: '/Relational Operator10' + * RelationalOperator: '/Relational Operator11' + * RelationalOperator: '/Relational Operator2' + * UnitDelay: '/UnitDelay1' */ - rtb_Merge = (int16_T)(((uint16_T)((tmp[rtU->z_ctrlModReq] << 5) / 125) * - rtb_toNegative) >> 12); + rtb_RelationalOperator1_m = ((!rtU->b_motEna) || rtDW->Merge_n || + (rtU->z_ctrlModReq == 0) || ((rtU->z_ctrlModReq != rtDW->z_ctrlMod) && + (rtDW->z_ctrlMod != 0))); - /* End of Outputs for SubSystem: '/FOC_Control_Type' */ - } else if (rtb_Gain3 >= 16000) { - /* Outputs for IfAction SubSystem: '/Default_Control_Type' incorporates: - * ActionPort: '/Action Port' + /* Chart: '/F03_02_Control_Mode_Manager' incorporates: + * Constant: '/constant' + * Constant: '/constant1' + * Constant: '/constant5' + * Constant: '/constant6' + * Constant: '/constant7' + * Inport: '/z_ctrlModReq' + * Logic: '/Logical Operator3' + * Logic: '/Logical Operator6' + * Logic: '/Logical Operator9' + * RelationalOperator: '/Relational Operator1' + * RelationalOperator: '/Relational Operator3' + * RelationalOperator: '/Relational Operator4' + * RelationalOperator: '/Relational Operator5' + * RelationalOperator: '/Relational Operator6' */ - /* Saturate: '/Saturation2' incorporates: - * Inport: '/r_inpTgt' - */ - rtb_Merge = 16000; + if (rtDW->is_active_c1_BLDC_controller == 0U) { + rtDW->is_active_c1_BLDC_controller = 1U; + rtDW->is_c1_BLDC_controller = IN_OPEN; + rtDW->z_ctrlMod = OPEN_MODE; + } else if (rtDW->is_c1_BLDC_controller == IN_ACTIVE) { + if (rtb_RelationalOperator1_m) { + rtDW->is_ACTIVE = IN_NO_ACTIVE_CHILD; + rtDW->is_c1_BLDC_controller = IN_OPEN; + rtDW->z_ctrlMod = OPEN_MODE; + } else { + switch (rtDW->is_ACTIVE) { + case IN_SPEED_MODE: + rtDW->z_ctrlMod = SPD_MODE; + break; - /* End of Outputs for SubSystem: '/Default_Control_Type' */ - } else if (rtb_Gain3 <= -16000) { - /* Outputs for IfAction SubSystem: '/Default_Control_Type' incorporates: - * ActionPort: '/Action Port' - */ - /* Saturate: '/Saturation2' incorporates: - * Inport: '/r_inpTgt' - */ - rtb_Merge = -16000; + case IN_TORQUE_MODE: + rtDW->z_ctrlMod = TRQ_MODE; + break; - /* End of Outputs for SubSystem: '/Default_Control_Type' */ - } else { - /* Outputs for IfAction SubSystem: '/Default_Control_Type' incorporates: - * ActionPort: '/Action Port' - */ - rtb_Merge = (int16_T)(rtU->r_inpTgt << 4); - - /* End of Outputs for SubSystem: '/Default_Control_Type' */ - } - - /* End of If: '/If1' */ - - /* If: '/If2' incorporates: - * Inport: '/r_inpTgtScaRaw' - */ - rtb_Sum2_h = rtDW->If2_ActiveSubsystem_j; - UnitDelay3 = (int8_T)!(rtb_Sum_l == 0); - rtDW->If2_ActiveSubsystem_j = UnitDelay3; - switch (UnitDelay3) { - case 0: - if (UnitDelay3 != rtb_Sum2_h) { - /* SystemReset for IfAction SubSystem: '/Open_Mode' incorporates: - * ActionPort: '/Action Port' - */ - /* SystemReset for Atomic SubSystem: '/rising_edge_init' */ - /* SystemReset for If: '/If2' incorporates: - * UnitDelay: '/UnitDelay' - * UnitDelay: '/UnitDelay' - */ - rtDW->UnitDelay_DSTATE_e = true; - - /* End of SystemReset for SubSystem: '/rising_edge_init' */ - - /* SystemReset for Atomic SubSystem: '/Rate_Limiter' */ - rtDW->UnitDelay_DSTATE = 0; - - /* End of SystemReset for SubSystem: '/Rate_Limiter' */ - /* End of SystemReset for SubSystem: '/Open_Mode' */ + default: + rtDW->z_ctrlMod = VLT_MODE; + break; + } + } + } else { + rtDW->z_ctrlMod = OPEN_MODE; + if ((!rtb_RelationalOperator1_m) && ((rtU->z_ctrlModReq == 1) || + (rtU->z_ctrlModReq == 2) || (rtU->z_ctrlModReq == 3)) && + rtb_RelationalOperator9) { + rtDW->is_c1_BLDC_controller = IN_ACTIVE; + if (rtU->z_ctrlModReq == 3) { + rtDW->is_ACTIVE = IN_TORQUE_MODE; + rtDW->z_ctrlMod = TRQ_MODE; + } else if (rtU->z_ctrlModReq == 2) { + rtDW->is_ACTIVE = IN_SPEED_MODE; + rtDW->z_ctrlMod = SPD_MODE; + } else { + rtDW->is_ACTIVE = IN_VOLTAGE_MODE; + rtDW->z_ctrlMod = VLT_MODE; + } + } } - /* Outputs for IfAction SubSystem: '/Open_Mode' incorporates: - * ActionPort: '/Action Port' - */ - /* DataTypeConversion: '/Data Type Conversion' incorporates: - * UnitDelay: '/UnitDelay4' - */ - rtb_Gain3 = rtDW->UnitDelay4_DSTATE_eu << 12; - rtb_DataTypeConversion = (rtb_Gain3 & 134217728) != 0 ? rtb_Gain3 | - -134217728 : rtb_Gain3 & 134217727; + /* End of Chart: '/F03_02_Control_Mode_Manager' */ - /* Outputs for Atomic SubSystem: '/rising_edge_init' */ - /* UnitDelay: '/UnitDelay' */ - rtb_RelationalOperator4_d = rtDW->UnitDelay_DSTATE_e; - - /* Update for UnitDelay: '/UnitDelay' incorporates: - * Constant: '/Constant' + /* If: '/If1' incorporates: + * Constant: '/z_ctrlTypSel1' + * DataTypeConversion: '/Data Type Conversion2' + * Inport: '/r_inpTgt' + * Saturate: '/Saturation' */ - rtDW->UnitDelay_DSTATE_e = false; + if (rtP->z_ctrlTypSel == 2) { + /* Outputs for IfAction SubSystem: '/FOC_Control_Type' incorporates: + * ActionPort: '/Action Port' + */ + /* SignalConversion: '/TmpSignal ConversionAtSelectorInport1' incorporates: + * Constant: '/Vd_max' + * Constant: '/constant1' + * Constant: '/i_max' + * Constant: '/n_max' + */ + tmp[0] = 0; + tmp[1] = rtP->Vd_max; + tmp[2] = rtP->n_max; + tmp[3] = rtP->i_max; - /* End of Outputs for SubSystem: '/rising_edge_init' */ + /* End of Outputs for SubSystem: '/FOC_Control_Type' */ - /* Outputs for Atomic SubSystem: '/Rate_Limiter' */ - /* Switch: '/Switch1' incorporates: - * UnitDelay: '/UnitDelay' - */ - if (rtb_RelationalOperator4_d) { - rtb_Switch1 = rtb_DataTypeConversion; + /* Saturate: '/Saturation' incorporates: + * DataTypeConversion: '/Data Type Conversion2' + */ + if (rtb_DataTypeConversion2 > 16000) { + rtb_Merge = 16000; + } else if (rtb_DataTypeConversion2 < -16000) { + rtb_Merge = -16000; + } else { + rtb_Merge = rtb_DataTypeConversion2; + } + + /* Outputs for IfAction SubSystem: '/FOC_Control_Type' incorporates: + * ActionPort: '/Action Port' + */ + /* Product: '/Divide1' incorporates: + * Inport: '/z_ctrlModReq' + * Product: '/Divide4' + * Selector: '/Selector' + */ + rtb_Merge = (int16_T)(((uint16_T)((tmp[rtU->z_ctrlModReq] << 5) / 125) * + rtb_Merge) >> 12); + + /* End of Outputs for SubSystem: '/FOC_Control_Type' */ + } else if (rtb_DataTypeConversion2 > 16000) { + /* Outputs for IfAction SubSystem: '/Default_Control_Type' incorporates: + * ActionPort: '/Action Port' + */ + /* Saturate: '/Saturation' incorporates: + * Inport: '/r_inpTgt' + */ + rtb_Merge = 16000; + + /* End of Outputs for SubSystem: '/Default_Control_Type' */ + } else if (rtb_DataTypeConversion2 < -16000) { + /* Outputs for IfAction SubSystem: '/Default_Control_Type' incorporates: + * ActionPort: '/Action Port' + */ + /* Saturate: '/Saturation' incorporates: + * Inport: '/r_inpTgt' + */ + rtb_Merge = -16000; + + /* End of Outputs for SubSystem: '/Default_Control_Type' */ } else { - rtb_Switch1 = rtDW->UnitDelay_DSTATE; + /* Outputs for IfAction SubSystem: '/Default_Control_Type' incorporates: + * ActionPort: '/Action Port' + */ + rtb_Merge = rtb_DataTypeConversion2; + + /* End of Outputs for SubSystem: '/Default_Control_Type' */ } - /* End of Switch: '/Switch1' */ + /* End of If: '/If1' */ - /* Sum: '/Sum1' */ - rtb_Gain3 = -rtb_Switch1; - rtb_Sum1 = (rtb_Gain3 & 134217728) != 0 ? rtb_Gain3 | -134217728 : rtb_Gain3 - & 134217727; - - /* Switch: '/Switch2' incorporates: - * Constant: '/dV_openRate' - * RelationalOperator: '/LowerRelop1' + /* If: '/If2' incorporates: + * Inport: '/r_inpTgtScaRaw' */ - if (rtb_Sum1 > rtP->dV_openRate) { - rtb_Sum1 = rtP->dV_openRate; - } else { - /* Gain: '/Gain3' */ - rtb_Gain3 = -rtP->dV_openRate; - rtb_Gain3 = (rtb_Gain3 & 134217728) != 0 ? rtb_Gain3 | -134217728 : + rtb_Sum2_h = rtDW->If2_ActiveSubsystem; + UnitDelay3 = (int8_T)!(rtDW->z_ctrlMod == 0); + rtDW->If2_ActiveSubsystem = UnitDelay3; + switch (UnitDelay3) { + case 0: + if (UnitDelay3 != rtb_Sum2_h) { + /* SystemReset for IfAction SubSystem: '/Open_Mode' incorporates: + * ActionPort: '/Action Port' + */ + /* SystemReset for Atomic SubSystem: '/rising_edge_init' */ + /* SystemReset for If: '/If2' incorporates: + * UnitDelay: '/UnitDelay' + * UnitDelay: '/UnitDelay' + */ + rtDW->UnitDelay_DSTATE_e = true; + + /* End of SystemReset for SubSystem: '/rising_edge_init' */ + + /* SystemReset for Atomic SubSystem: '/Rate_Limiter' */ + rtDW->UnitDelay_DSTATE = 0; + + /* End of SystemReset for SubSystem: '/Rate_Limiter' */ + /* End of SystemReset for SubSystem: '/Open_Mode' */ + } + + /* Outputs for IfAction SubSystem: '/Open_Mode' incorporates: + * ActionPort: '/Action Port' + */ + /* DataTypeConversion: '/Data Type Conversion' incorporates: + * UnitDelay: '/UnitDelay4' + */ + rtb_Gain3 = rtDW->UnitDelay4_DSTATE_eu << 12; + rtb_DataTypeConversion = (rtb_Gain3 & 134217728) != 0 ? rtb_Gain3 | + -134217728 : rtb_Gain3 & 134217727; + + /* Outputs for Atomic SubSystem: '/rising_edge_init' */ + /* UnitDelay: '/UnitDelay' */ + rtb_RelationalOperator9 = rtDW->UnitDelay_DSTATE_e; + + /* Update for UnitDelay: '/UnitDelay' incorporates: + * Constant: '/Constant' + */ + rtDW->UnitDelay_DSTATE_e = false; + + /* End of Outputs for SubSystem: '/rising_edge_init' */ + + /* Outputs for Atomic SubSystem: '/Rate_Limiter' */ + /* Switch: '/Switch1' incorporates: + * UnitDelay: '/UnitDelay' + */ + if (rtb_RelationalOperator9) { + rtb_Switch1 = rtb_DataTypeConversion; + } else { + rtb_Switch1 = rtDW->UnitDelay_DSTATE; + } + + /* End of Switch: '/Switch1' */ + + /* Sum: '/Sum1' */ + rtb_Gain3 = -rtb_Switch1; + rtb_Sum1 = (rtb_Gain3 & 134217728) != 0 ? rtb_Gain3 | -134217728 : rtb_Gain3 & 134217727; - /* Switch: '/Switch' incorporates: - * RelationalOperator: '/UpperRelop' + /* Switch: '/Switch2' incorporates: + * Constant: '/dV_openRate' + * RelationalOperator: '/LowerRelop1' */ - if (rtb_Sum1 < rtb_Gain3) { - rtb_Sum1 = rtb_Gain3; + if (rtb_Sum1 > rtP->dV_openRate) { + rtb_Sum1 = rtP->dV_openRate; + } else { + /* Gain: '/Gain3' */ + rtb_Gain3 = -rtP->dV_openRate; + rtb_Gain3 = (rtb_Gain3 & 134217728) != 0 ? rtb_Gain3 | -134217728 : + rtb_Gain3 & 134217727; + + /* Switch: '/Switch' incorporates: + * RelationalOperator: '/UpperRelop' + */ + if (rtb_Sum1 < rtb_Gain3) { + rtb_Sum1 = rtb_Gain3; + } + + /* End of Switch: '/Switch' */ } - /* End of Switch: '/Switch' */ + /* End of Switch: '/Switch2' */ + + /* Sum: '/Sum2' */ + rtb_Gain3 = rtb_Sum1 + rtb_Switch1; + rtb_Switch1 = (rtb_Gain3 & 134217728) != 0 ? rtb_Gain3 | -134217728 : + rtb_Gain3 & 134217727; + + /* Switch: '/Switch2' */ + if (rtb_RelationalOperator9) { + /* Update for UnitDelay: '/UnitDelay' */ + rtDW->UnitDelay_DSTATE = rtb_DataTypeConversion; + } else { + /* Update for UnitDelay: '/UnitDelay' */ + rtDW->UnitDelay_DSTATE = rtb_Switch1; + } + + /* End of Switch: '/Switch2' */ + /* End of Outputs for SubSystem: '/Rate_Limiter' */ + + /* DataTypeConversion: '/Data Type Conversion1' */ + rtDW->Merge1 = (int16_T)(rtb_Switch1 >> 12); + + /* End of Outputs for SubSystem: '/Open_Mode' */ + break; + + case 1: + /* Outputs for IfAction SubSystem: '/Default_Mode' incorporates: + * ActionPort: '/Action Port' + */ + rtDW->Merge1 = rtb_Merge; + + /* End of Outputs for SubSystem: '/Default_Mode' */ + break; } - /* End of Switch: '/Switch2' */ - - /* Sum: '/Sum2' */ - rtb_Gain3 = rtb_Sum1 + rtb_Switch1; - rtb_Switch1 = (rtb_Gain3 & 134217728) != 0 ? rtb_Gain3 | -134217728 : - rtb_Gain3 & 134217727; - - /* Switch: '/Switch2' */ - if (rtb_RelationalOperator4_d) { - /* Update for UnitDelay: '/UnitDelay' */ - rtDW->UnitDelay_DSTATE = rtb_DataTypeConversion; - } else { - /* Update for UnitDelay: '/UnitDelay' */ - rtDW->UnitDelay_DSTATE = rtb_Switch1; - } - - /* End of Switch: '/Switch2' */ - /* End of Outputs for SubSystem: '/Rate_Limiter' */ - - /* DataTypeConversion: '/Data Type Conversion1' */ - rtb_Merge1 = (int16_T)(rtb_Switch1 >> 12); - - /* End of Outputs for SubSystem: '/Open_Mode' */ - break; - - case 1: - /* Outputs for IfAction SubSystem: '/Default_Mode' incorporates: - * ActionPort: '/Action Port' - */ - rtb_Merge1 = rtb_Merge; - - /* End of Outputs for SubSystem: '/Default_Mode' */ - break; + /* End of If: '/If2' */ + /* End of Outputs for SubSystem: '/F03_Control_Mode_Manager' */ } - /* End of If: '/If2' */ + /* End of If: '/If4' */ + + /* UnitDelay: '/UnitDelay5' */ + rtb_RelationalOperator9 = rtDW->UnitDelay5_DSTATE_l; /* Saturate: '/Saturation' incorporates: * Inport: '/i_phaAB' */ rtb_Gain3 = rtU->i_phaAB << 4; - if (rtb_Gain3 >= 32000) { - rtb_Saturation = 32000; - } else if (rtb_Gain3 <= -32000) { - rtb_Saturation = -32000; + if (rtb_Gain3 >= 27200) { + rtb_Merge = 27200; + } else if (rtb_Gain3 <= -27200) { + rtb_Merge = -27200; } else { - rtb_Saturation = (int16_T)(rtU->i_phaAB << 4); + rtb_Merge = (int16_T)(rtU->i_phaAB << 4); } /* End of Saturate: '/Saturation' */ @@ -1551,16 +1377,117 @@ void BLDC_controller_step(RT_MODEL *const rtM) * Inport: '/i_phaBC' */ rtb_Gain3 = rtU->i_phaBC << 4; - if (rtb_Gain3 >= 32000) { - rtb_Merge = 32000; - } else if (rtb_Gain3 <= -32000) { - rtb_Merge = -32000; + if (rtb_Gain3 >= 27200) { + rtb_Saturation1 = 27200; + } else if (rtb_Gain3 <= -27200) { + rtb_Saturation1 = -27200; } else { - rtb_Merge = (int16_T)(rtU->i_phaBC << 4); + rtb_Saturation1 = (int16_T)(rtU->i_phaBC << 4); } /* End of Saturate: '/Saturation1' */ + /* If: '/If3' incorporates: + * Constant: '/CTRL_COMM2' + * Constant: '/b_fieldWeakEna' + * Constant: '/z_ctrlTypSel1' + * Logic: '/Logical Operator1' + * RelationalOperator: '/Relational Operator1' + * UnitDelay: '/UnitDelay5' + */ + if (rtP->b_fieldWeakEna && rtDW->UnitDelay5_DSTATE_l && (rtP->z_ctrlTypSel != + 0)) { + /* Outputs for IfAction SubSystem: '/F04_Field_Weakening' incorporates: + * ActionPort: '/Action Port' + */ + /* Abs: '/Abs5' incorporates: + * DataTypeConversion: '/Data Type Conversion2' + */ + if (rtb_DataTypeConversion2 < 0) { + rtb_DataTypeConversion2 = (int16_T)-rtb_DataTypeConversion2; + } + + /* End of Abs: '/Abs5' */ + + /* Switch: '/Switch2' incorporates: + * Constant: '/r_fieldWeakHi' + * Constant: '/r_fieldWeakLo' + * RelationalOperator: '/LowerRelop1' + * RelationalOperator: '/UpperRelop' + * Switch: '/Switch' + */ + if (rtb_DataTypeConversion2 > rtP->r_fieldWeakHi) { + rtb_DataTypeConversion2 = rtP->r_fieldWeakHi; + } else { + if (rtb_DataTypeConversion2 < rtP->r_fieldWeakLo) { + /* Switch: '/Switch' incorporates: + * Constant: '/r_fieldWeakLo' + */ + rtb_DataTypeConversion2 = rtP->r_fieldWeakLo; + } + } + + /* End of Switch: '/Switch2' */ + + /* Switch: '/Switch2' incorporates: + * Constant: '/CTRL_COMM2' + * Constant: '/a_phaAdvMax' + * Constant: '/id_fieldWeakMax' + * RelationalOperator: '/Relational Operator1' + */ + if (rtP->z_ctrlTypSel == 2) { + rtb_Merge_f_idx_1 = rtP->id_fieldWeakMax; + } else { + rtb_Merge_f_idx_1 = rtP->a_phaAdvMax; + } + + /* End of Switch: '/Switch2' */ + + /* Switch: '/Switch2' incorporates: + * Constant: '/n_fieldWeakAuthHi' + * Constant: '/n_fieldWeakAuthLo' + * RelationalOperator: '/LowerRelop1' + * RelationalOperator: '/UpperRelop' + * Switch: '/Switch' + */ + if (rtb_Abs5 > rtP->n_fieldWeakAuthHi) { + rtb_Switch2_l = rtP->n_fieldWeakAuthHi; + } else if (rtb_Abs5 < rtP->n_fieldWeakAuthLo) { + /* Switch: '/Switch' incorporates: + * Constant: '/n_fieldWeakAuthLo' + */ + rtb_Switch2_l = rtP->n_fieldWeakAuthLo; + } else { + rtb_Switch2_l = rtb_Abs5; + } + + /* End of Switch: '/Switch2' */ + + /* Product: '/Divide3' incorporates: + * Constant: '/n_fieldWeakAuthHi' + * Constant: '/n_fieldWeakAuthLo' + * Constant: '/r_fieldWeakHi' + * Constant: '/r_fieldWeakLo' + * Product: '/Divide1' + * Product: '/Divide14' + * Product: '/Divide2' + * Sum: '/Sum1' + * Sum: '/Sum2' + * Sum: '/Sum3' + * Sum: '/Sum4' + */ + rtDW->Divide3 = (int16_T)(((uint16_T)(((uint32_T)(uint16_T)(((int16_T) + (rtb_DataTypeConversion2 - rtP->r_fieldWeakLo) << 15) / (int16_T) + (rtP->r_fieldWeakHi - rtP->r_fieldWeakLo)) * (uint16_T)(((int16_T) + (rtb_Switch2_l - rtP->n_fieldWeakAuthLo) << 15) / (int16_T) + (rtP->n_fieldWeakAuthHi - rtP->n_fieldWeakAuthLo))) >> 15) * + rtb_Merge_f_idx_1) >> 15); + + /* End of Outputs for SubSystem: '/F04_Field_Weakening' */ + } + + /* End of If: '/If3' */ + /* If: '/If1' incorporates: * Constant: '/z_ctrlTypSel1' */ @@ -1572,130 +1499,71 @@ void BLDC_controller_step(RT_MODEL *const rtM) rtDW->If1_ActiveSubsystem = UnitDelay3; if ((rtb_Sum2_h != UnitDelay3) && (rtb_Sum2_h == 0)) { - /* Disable for If: '/If2' */ + /* Disable for If: '/If2' */ if (rtDW->If2_ActiveSubsystem_a == 0) { - /* Disable for Outport: '/iq' */ + /* Disable for Outport: '/iq' */ rtDW->Sum1[0] = 0; - /* Disable for Outport: '/id' */ + /* Disable for Outport: '/id' */ rtDW->Sum1[1] = 0; } rtDW->If2_ActiveSubsystem_a = -1; - /* End of Disable for If: '/If2' */ + /* End of Disable for If: '/If2' */ - /* Disable for If: '/If1' */ - if (rtDW->If1_ActiveSubsystem_e == 0) { - /* Disable for Outport: '/Vd' */ - rtDW->Switch1 = 0; - } - - rtDW->If1_ActiveSubsystem_e = -1; - - /* End of Disable for If: '/If1' */ - - /* Disable for If: '/If1' */ - if (rtDW->If1_ActiveSubsystem_f == 0) { - /* Disable for Outport: '/iq_limProt' */ - rtDW->Divide4 = 0; - } - - rtDW->If1_ActiveSubsystem_f = -1; - - /* End of Disable for If: '/If1' */ - - /* Disable for If: '/If2' */ - if (rtDW->If2_ActiveSubsystem_c == 0) { - /* Disable for Outport: '/n_limProt' */ - rtDW->Divide1 = 0; - } - - rtDW->If2_ActiveSubsystem_c = -1; - - /* End of Disable for If: '/If2' */ - - /* Disable for SwitchCase: '/Switch Case' */ - rtDW->SwitchCase_ActiveSubsystem = -1; - - /* Disable for Outport: '/V_phaABC_FOC' */ + /* Disable for Outport: '/V_phaABC_FOC' */ rtDW->Gain4[0] = 0; rtDW->Gain4[1] = 0; rtDW->Gain4[2] = 0; - /* Disable for Outport: '/Vq' */ - rtDW->Merge = 0; - - /* Disable for Outport: '/r_devSignal1' */ + /* Disable for Outport: '/r_devSignal1' */ rtDW->Sum1[0] = 0; - /* Disable for Outport: '/r_devSignal2' */ + /* Disable for Outport: '/r_devSignal2' */ rtDW->Sum1[1] = 0; } if (UnitDelay3 == 0) { - /* Outputs for IfAction SubSystem: '/F04_Field_Oriented_Control' incorporates: - * ActionPort: '/Action Port' - */ - /* Relay: '/n_fieldWeakAuth' */ - if (rtb_Abs5 >= rtP->n_fieldWeakAuthHi) { - rtDW->n_fieldWeakAuth_Mode = true; - } else { - if (rtb_Abs5 <= rtP->n_fieldWeakAuthLo) { - rtDW->n_fieldWeakAuth_Mode = false; - } + if (0 != rtb_Sum2_h) { + /* InitializeConditions for IfAction SubSystem: '/F05_Field_Oriented_Control' incorporates: + * ActionPort: '/Action Port' + */ + /* InitializeConditions for If: '/If1' incorporates: + * UnitDelay: '/UnitDelay4' + */ + rtDW->UnitDelay4_DSTATE_h = 0; + + /* End of InitializeConditions for SubSystem: '/F05_Field_Oriented_Control' */ } - /* Switch: '/Switch1' incorporates: - * Constant: '/a_elecPeriod1' - * Constant: '/b_fieldWeakEna' - * Logic: '/Logical Operator2' - * Relay: '/n_fieldWeakAuth' + /* Outputs for IfAction SubSystem: '/F05_Field_Oriented_Control' incorporates: + * ActionPort: '/Action Port' */ - if (rtP->b_fieldWeakEna && rtDW->n_fieldWeakAuth_Mode) { - /* Abs: '/Abs5' */ - if (rtb_Merge1 < 0) { - rtb_id_fieldWeak_M1 = (int16_T)-rtb_Merge1; - } else { - rtb_id_fieldWeak_M1 = rtb_Merge1; - } - - /* End of Abs: '/Abs5' */ - - /* PreLookup: '/r_fieldWeak_XA' */ - rtb_r_fieldWeak_XA_o1 = plook_u8s16u8n7_evenc_s(rtb_id_fieldWeak_M1, - rtP->r_fieldWeak_XA[0], (uint16_T)(rtP->r_fieldWeak_XA[1] - - rtP->r_fieldWeak_XA[0]), 11U, &rtb_r_fieldWeak_XA_o2); - - /* Interpolation_n-D: '/id_fieldWeak_M1' */ - rtb_id_fieldWeak_M1 = intrp1d_s16s32s32u8u8n7l_s(rtb_r_fieldWeak_XA_o1, - rtb_r_fieldWeak_XA_o2, rtP->id_fieldWeak_M1); + /* Abs: '/Abs1' */ + if (rtDW->Merge1 < 0) { + rtb_Switch2_l = (int16_T)-rtDW->Merge1; } else { - rtb_id_fieldWeak_M1 = 0; + rtb_Switch2_l = rtDW->Merge1; } - /* End of Switch: '/Switch1' */ + /* End of Abs: '/Abs1' */ - /* Gain: '/toNegative' */ - rtb_toNegative = (int16_T)-rtb_id_fieldWeak_M1; + /* Gain: '/toNegative' */ + rtb_toNegative = (int16_T)-rtDW->Divide3; - /* Gain: '/Gain4' incorporates: - * Constant: '/i_max' - */ - rtb_Gain4 = (int16_T)-rtP->i_max; - - /* If: '/If1' incorporates: - * Constant: '/b_selPhaABCurrMeas' + /* If: '/If1' incorporates: + * Constant: '/b_selPhaABCurrMeas' */ if (rtP->b_selPhaABCurrMeas) { - /* Outputs for IfAction SubSystem: '/Clarke_PhasesAB' incorporates: + /* Outputs for IfAction SubSystem: '/Clarke_PhasesAB' incorporates: * ActionPort: '/Action Port' */ /* Gain: '/Gain4' */ - rtb_Gain3 = 18919 * rtb_Saturation; + rtb_Gain3 = 18919 * rtb_Merge; /* Gain: '/Gain2' */ - rtb_DataTypeConversion = 18919 * rtb_Merge; + rtb_DataTypeConversion = 18919 * rtb_Saturation1; /* Sum: '/Sum1' incorporates: * Gain: '/Gain2' @@ -1712,16 +1580,16 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - rtb_Gain2_f = (int16_T)rtb_Gain3; + rtb_DataTypeConversion2 = (int16_T)rtb_Gain3; /* End of Sum: '/Sum1' */ - /* End of Outputs for SubSystem: '/Clarke_PhasesAB' */ + /* End of Outputs for SubSystem: '/Clarke_PhasesAB' */ } else { - /* Outputs for IfAction SubSystem: '/Clarke_PhasesBC' incorporates: + /* Outputs for IfAction SubSystem: '/Clarke_PhasesBC' incorporates: * ActionPort: '/Action Port' */ /* Sum: '/Sum3' */ - rtb_Gain3 = rtb_Saturation - rtb_Merge; + rtb_Gain3 = rtb_Merge - rtb_Saturation1; if (rtb_Gain3 > 32767) { rtb_Gain3 = 32767; } else { @@ -1734,10 +1602,11 @@ void BLDC_controller_step(RT_MODEL *const rtM) * Sum: '/Sum3' */ rtb_Gain3 *= 18919; - rtb_Gain2_f = (int16_T)(((rtb_Gain3 < 0 ? 32767 : 0) + rtb_Gain3) >> 15); + rtb_DataTypeConversion2 = (int16_T)(((rtb_Gain3 < 0 ? 32767 : 0) + + rtb_Gain3) >> 15); /* Sum: '/Sum1' */ - rtb_Gain3 = -rtb_Saturation - rtb_Merge; + rtb_Gain3 = -rtb_Merge - rtb_Saturation1; if (rtb_Gain3 > 32767) { rtb_Gain3 = 32767; } else { @@ -1746,25 +1615,19 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - rtb_Saturation = (int16_T)rtb_Gain3; + rtb_Merge = (int16_T)rtb_Gain3; /* End of Sum: '/Sum1' */ - /* End of Outputs for SubSystem: '/Clarke_PhasesBC' */ + /* End of Outputs for SubSystem: '/Clarke_PhasesBC' */ } - /* End of If: '/If1' */ + /* End of If: '/If1' */ - /* PreLookup: '/a_elecAngle_XA' */ - rtb_r_fieldWeak_XA_o1 = plook_u8s16_evencka(rtb_Switch2_fl, 0, 128U, 180U); + /* PreLookup: '/a_elecAngle_XA' */ + rtb_Sum_l = plook_u8s16_evencka(rtb_Switch2_fl, 0, 128U, 180U); - /* Interpolation_n-D: '/r_sin_M1' */ - rtb_MinMax2 = rtConstP.r_sin_M1_Table[rtb_r_fieldWeak_XA_o1]; - - /* Interpolation_n-D: '/r_cos_M1' */ - rtb_Merge = rtConstP.r_cos_M1_Table[rtb_r_fieldWeak_XA_o1]; - - /* If: '/If2' incorporates: - * Constant: '/cf_currFilt' + /* If: '/If2' incorporates: + * Constant: '/cf_currFilt' * Inport: '/b_motEna' */ rtb_Sum2_h = rtDW->If2_ActiveSubsystem_a; @@ -1775,38 +1638,38 @@ void BLDC_controller_step(RT_MODEL *const rtM) rtDW->If2_ActiveSubsystem_a = UnitDelay3; if ((rtb_Sum2_h != UnitDelay3) && (rtb_Sum2_h == 0)) { - /* Disable for Outport: '/iq' */ + /* Disable for Outport: '/iq' */ rtDW->Sum1[0] = 0; - /* Disable for Outport: '/id' */ + /* Disable for Outport: '/id' */ rtDW->Sum1[1] = 0; } if (UnitDelay3 == 0) { if (0 != rtb_Sum2_h) { - /* SystemReset for IfAction SubSystem: '/Current_Filtering' incorporates: - * ActionPort: '/Action Port' + /* SystemReset for IfAction SubSystem: '/Current_Filtering' incorporates: + * ActionPort: '/Action Port' */ - /* SystemReset for Atomic SubSystem: '/Low_Pass_Filter' */ + /* SystemReset for Atomic SubSystem: '/Low_Pass_Filter' */ - /* SystemReset for If: '/If2' */ + /* SystemReset for If: '/If2' */ Low_Pass_Filter_Reset(&rtDW->Low_Pass_Filter_m); - /* End of SystemReset for SubSystem: '/Low_Pass_Filter' */ + /* End of SystemReset for SubSystem: '/Low_Pass_Filter' */ - /* End of SystemReset for SubSystem: '/Current_Filtering' */ + /* End of SystemReset for SubSystem: '/Current_Filtering' */ } - /* Sum: '/Sum6' incorporates: - * Interpolation_n-D: '/r_cos_M1' - * Interpolation_n-D: '/r_sin_M1' - * Product: '/Divide1' - * Product: '/Divide4' + /* Sum: '/Sum6' incorporates: + * Interpolation_n-D: '/r_cos_M1' + * Interpolation_n-D: '/r_sin_M1' + * Product: '/Divide1' + * Product: '/Divide4' */ - rtb_Gain3 = (int16_T)((rtb_Gain2_f * - rtConstP.r_cos_M1_Table[rtb_r_fieldWeak_XA_o1]) >> 14) - (int16_T) - ((rtb_Saturation * rtConstP.r_sin_M1_Table[rtb_r_fieldWeak_XA_o1]) >> 14); + rtb_Gain3 = (int16_T)((rtb_DataTypeConversion2 * + rtConstP.r_cos_M1_Table[rtb_Sum_l]) >> 14) - (int16_T)((rtb_Merge * + rtConstP.r_sin_M1_Table[rtb_Sum_l]) >> 14); if (rtb_Gain3 > 32767) { rtb_Gain3 = 32767; } else { @@ -1815,25 +1678,25 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - /* Outputs for IfAction SubSystem: '/Current_Filtering' incorporates: - * ActionPort: '/Action Port' + /* Outputs for IfAction SubSystem: '/Current_Filtering' incorporates: + * ActionPort: '/Action Port' */ - /* SignalConversion: '/TmpSignal ConversionAtLow_Pass_FilterInport1' incorporates: - * Sum: '/Sum6' + /* SignalConversion: '/TmpSignal ConversionAtLow_Pass_FilterInport1' incorporates: + * Sum: '/Sum6' */ rtb_TmpSignalConversionAtLow_Pa[0] = (int16_T)rtb_Gain3; - /* End of Outputs for SubSystem: '/Current_Filtering' */ + /* End of Outputs for SubSystem: '/Current_Filtering' */ - /* Sum: '/Sum1' incorporates: - * Interpolation_n-D: '/r_cos_M1' - * Interpolation_n-D: '/r_sin_M1' - * Product: '/Divide2' - * Product: '/Divide3' + /* Sum: '/Sum1' incorporates: + * Interpolation_n-D: '/r_cos_M1' + * Interpolation_n-D: '/r_sin_M1' + * Product: '/Divide2' + * Product: '/Divide3' */ - rtb_Gain3 = (int16_T)((rtb_Saturation * - rtConstP.r_cos_M1_Table[rtb_r_fieldWeak_XA_o1]) >> 14) + (int16_T) - ((rtb_Gain2_f * rtConstP.r_sin_M1_Table[rtb_r_fieldWeak_XA_o1]) >> 14); + rtb_Gain3 = (int16_T)((rtb_Merge * rtConstP.r_cos_M1_Table[rtb_Sum_l]) >> + 14) + (int16_T)((rtb_DataTypeConversion2 * + rtConstP.r_sin_M1_Table[rtb_Sum_l]) >> 14); if (rtb_Gain3 > 32767) { rtb_Gain3 = 32767; } else { @@ -1842,479 +1705,416 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - /* Outputs for IfAction SubSystem: '/Current_Filtering' incorporates: - * ActionPort: '/Action Port' + /* Outputs for IfAction SubSystem: '/Current_Filtering' incorporates: + * ActionPort: '/Action Port' */ - /* SignalConversion: '/TmpSignal ConversionAtLow_Pass_FilterInport1' incorporates: - * Sum: '/Sum1' + /* SignalConversion: '/TmpSignal ConversionAtLow_Pass_FilterInport1' incorporates: + * Sum: '/Sum1' */ rtb_TmpSignalConversionAtLow_Pa[1] = (int16_T)rtb_Gain3; - /* Outputs for Atomic SubSystem: '/Low_Pass_Filter' */ + /* Outputs for Atomic SubSystem: '/Low_Pass_Filter' */ Low_Pass_Filter(rtb_TmpSignalConversionAtLow_Pa, rtP->cf_currFilt, rtDW->Sum1, &rtDW->Low_Pass_Filter_m); - /* End of Outputs for SubSystem: '/Low_Pass_Filter' */ + /* End of Outputs for SubSystem: '/Low_Pass_Filter' */ - /* End of Outputs for SubSystem: '/Current_Filtering' */ + /* End of Outputs for SubSystem: '/Current_Filtering' */ } - /* End of If: '/If2' */ + /* End of If: '/If2' */ - /* If: '/If1' incorporates: - * Constant: '/Vd_max1' - * Constant: '/cf_idKi1' - * Constant: '/cf_idKp1' - * Constant: '/constant1' - * Gain: '/Gain3' - * Sum: '/Sum3' + /* If: '/If3' incorporates: + * Constant: '/Vd_max1' + * Constant: '/i_max' + * UnitDelay: '/UnitDelay5' */ - rtb_Sum2_h = rtDW->If1_ActiveSubsystem_e; - UnitDelay3 = -1; - if (rtb_LogicalOperator) { - UnitDelay3 = 0; - } - - rtDW->If1_ActiveSubsystem_e = UnitDelay3; - if ((rtb_Sum2_h != UnitDelay3) && (rtb_Sum2_h == 0)) { - /* Disable for Outport: '/Vd' */ - rtDW->Switch1 = 0; - } - - if (UnitDelay3 == 0) { - if (0 != rtb_Sum2_h) { - /* SystemReset for IfAction SubSystem: '/Vd_Calculation' incorporates: - * ActionPort: '/Action Port' - */ - - /* SystemReset for Atomic SubSystem: '/PI_clamp_fixdt_id' */ - - /* SystemReset for If: '/If1' */ - PI_clamp_fixdt_Reset(&rtDW->PI_clamp_fixdt_id); - - /* End of SystemReset for SubSystem: '/PI_clamp_fixdt_id' */ - - /* End of SystemReset for SubSystem: '/Vd_Calculation' */ - } - - /* Outputs for IfAction SubSystem: '/Vd_Calculation' incorporates: - * ActionPort: '/Action Port' - */ - /* Switch: '/Switch2' incorporates: - * Constant: '/i_max' - * RelationalOperator: '/LowerRelop1' - * RelationalOperator: '/UpperRelop' - * Switch: '/Switch' - */ - if (rtb_toNegative > rtP->i_max) { - rtb_toNegative = rtP->i_max; - } else { - if (rtb_toNegative < rtb_Gain4) { - /* Switch: '/Switch' */ - rtb_toNegative = rtb_Gain4; - } - } - - /* End of Switch: '/Switch2' */ - - /* Sum: '/Sum3' */ - rtb_Gain3 = rtb_toNegative - rtDW->Sum1[1]; - if (rtb_Gain3 > 32767) { - rtb_Gain3 = 32767; - } else { - if (rtb_Gain3 < -32768) { - rtb_Gain3 = -32768; - } - } - - /* Outputs for Atomic SubSystem: '/PI_clamp_fixdt_id' */ - PI_clamp_fixdt((int16_T)rtb_Gain3, rtP->cf_idKp, rtP->cf_idKi, rtP->Vd_max, - (int16_T)-rtP->Vd_max, 0, &rtDW->Switch1, - &rtDW->PI_clamp_fixdt_id); - - /* End of Outputs for SubSystem: '/PI_clamp_fixdt_id' */ - - /* End of Outputs for SubSystem: '/Vd_Calculation' */ - } - - /* End of If: '/If1' */ - - /* Abs: '/Abs5' */ - if (rtDW->Switch1 < 0) { - rtb_toNegative = (int16_T)-rtDW->Switch1; - } else { - rtb_toNegative = rtDW->Switch1; - } - - /* End of Abs: '/Abs5' */ - - /* PreLookup: '/Vq_max_XA' */ - rtb_r_fieldWeak_XA_o1 = plook_u8s16_evencka(rtb_toNegative, rtP->Vq_max_XA[0], - (uint16_T)(rtP->Vq_max_XA[1] - rtP->Vq_max_XA[0]), 45U); - - /* Gain: '/Gain5' incorporates: - * Interpolation_n-D: '/Vq_max_M1' - */ - rtb_Gain2_f = (int16_T)-rtP->Vq_max_M1[rtb_r_fieldWeak_XA_o1]; - - /* Interpolation_n-D: '/iq_maxSca_M1' incorporates: - * Constant: '/i_max' - * Product: '/Divide4' - */ - rtb_Gain3 = rtb_id_fieldWeak_M1 << 16; - rtb_Gain3 = (rtb_Gain3 == MIN_int32_T) && (rtP->i_max == -1) ? MAX_int32_T : - rtb_Gain3 / rtP->i_max; - if (rtb_Gain3 < 0) { - rtb_Gain3 = 0; - } else { - if (rtb_Gain3 > 65535) { - rtb_Gain3 = 65535; - } - } - - /* Product: '/Divide1' incorporates: - * Constant: '/i_max' - * Interpolation_n-D: '/iq_maxSca_M1' - * PreLookup: '/iq_maxSca_XA' - * Product: '/Divide4' - */ - rtb_id_fieldWeak_M1 = (int16_T) - ((rtConstP.iq_maxSca_M1_Table[plook_u8u16_evencka((uint16_T)rtb_Gain3, 0U, - 1311U, 49U)] * rtP->i_max) >> 16); - - /* Gain: '/Gain1' */ - rtb_Saturation = (int16_T)-rtb_id_fieldWeak_M1; - - /* If: '/If1' incorporates: - * Constant: '/CTRL_COMM' - * Constant: '/CTRL_COMM1' - * Logic: '/Logical Operator2' - * RelationalOperator: '/Relational Operator1' - * RelationalOperator: '/Relational Operator2' - */ - rtb_Sum2_h = rtDW->If1_ActiveSubsystem_f; - UnitDelay3 = -1; - if ((rtb_Sum_l == 1) || (rtb_Sum_l == 2)) { - UnitDelay3 = 0; - } - - rtDW->If1_ActiveSubsystem_f = UnitDelay3; - if ((rtb_Sum2_h != UnitDelay3) && (rtb_Sum2_h == 0)) { - /* Disable for Outport: '/iq_limProt' */ - rtDW->Divide4 = 0; - } - - if (UnitDelay3 == 0) { - /* Outputs for IfAction SubSystem: '/Current_Limit_Protection' incorporates: - * ActionPort: '/Action Port' - */ - /* Switch: '/Switch2' incorporates: - * RelationalOperator: '/LowerRelop1' - * RelationalOperator: '/UpperRelop' - * Switch: '/Switch' - */ - if (rtDW->Sum1[0] > rtb_id_fieldWeak_M1) { - rtb_toNegative = rtb_id_fieldWeak_M1; - } else if (rtDW->Sum1[0] < rtb_Saturation) { - /* Switch: '/Switch' */ - rtb_toNegative = rtb_Saturation; - } else { - rtb_toNegative = rtDW->Sum1[0]; - } - - /* End of Switch: '/Switch2' */ - - /* Product: '/Divide4' incorporates: - * Constant: '/cf_iqKpLimProt' - * Sum: '/Sum3' - */ - rtb_Gain3 = ((int16_T)(rtb_toNegative - rtDW->Sum1[0]) * - rtP->cf_iqKpLimProt) >> 8; - if (rtb_Gain3 > 32767) { - rtb_Gain3 = 32767; - } else { - if (rtb_Gain3 < -32768) { - rtb_Gain3 = -32768; - } - } - - rtDW->Divide4 = (int16_T)rtb_Gain3; - - /* End of Product: '/Divide4' */ - /* End of Outputs for SubSystem: '/Current_Limit_Protection' */ - } - - /* End of If: '/If1' */ - - /* Gain: '/Gain6' incorporates: - * Constant: '/n_max1' - */ - rtb_toNegative = (int16_T)-rtP->n_max; - - /* If: '/If2' incorporates: - * Constant: '/CTRL_COMM2' - * Constant: '/CTRL_COMM3' - * Logic: '/Logical Operator1' - * RelationalOperator: '/Relational Operator3' - * RelationalOperator: '/Relational Operator4' - */ - rtb_Sum2_h = rtDW->If2_ActiveSubsystem_c; - UnitDelay3 = -1; - if ((rtb_Sum_l == 1) || (rtb_Sum_l == 3)) { - UnitDelay3 = 0; - } - - rtDW->If2_ActiveSubsystem_c = UnitDelay3; - if ((rtb_Sum2_h != UnitDelay3) && (rtb_Sum2_h == 0)) { - /* Disable for Outport: '/n_limProt' */ - rtDW->Divide1 = 0; - } - - if (UnitDelay3 == 0) { - /* Outputs for IfAction SubSystem: '/Speed_Limit_Protection' incorporates: - * ActionPort: '/Action Port' - */ - /* Switch: '/Switch2' incorporates: - * Constant: '/n_max1' - * RelationalOperator: '/LowerRelop1' - * RelationalOperator: '/UpperRelop' - * Switch: '/Switch' - */ - if (rtb_Switch2_k > rtP->n_max) { - rtb_toNegative = rtP->n_max; - } else { - if (!(rtb_Switch2_k < rtb_toNegative)) { - rtb_toNegative = rtb_Switch2_k; - } - } - - /* End of Switch: '/Switch2' */ - - /* Product: '/Divide1' incorporates: - * Constant: '/cf_nKpLimProt' - * Sum: '/Sum1' - */ - rtb_Gain3 = ((int16_T)(rtb_toNegative - rtb_Switch2_k) * - rtP->cf_nKpLimProt) >> 8; - if (rtb_Gain3 > 32767) { - rtb_Gain3 = 32767; - } else { - if (rtb_Gain3 < -32768) { - rtb_Gain3 = -32768; - } - } - - rtDW->Divide1 = (int16_T)rtb_Gain3; - - /* End of Product: '/Divide1' */ - /* End of Outputs for SubSystem: '/Speed_Limit_Protection' */ - } - - /* End of If: '/If2' */ - - /* SwitchCase: '/Switch Case' incorporates: - * Constant: '/cf_iqKiLimProt' - * Constant: '/cf_nKi' - * Constant: '/cf_nKp' - * Inport: '/r_inpTgtSca' - * Interpolation_n-D: '/Vq_max_M1' - * Product: '/Divide1' - * SignalConversion: '/Signal Conversion2' - * Sum: '/Sum3' - */ - rtb_Sum2_h = rtDW->SwitchCase_ActiveSubsystem; - switch (rtb_Sum_l) { - case 1: - UnitDelay3 = 0; - break; - - case 2: - UnitDelay3 = 1; - break; - - case 3: - UnitDelay3 = 2; - break; - - default: - UnitDelay3 = 3; - break; - } - - rtDW->SwitchCase_ActiveSubsystem = UnitDelay3; - switch (UnitDelay3) { - case 0: - /* Outputs for IfAction SubSystem: '/Voltage_Mode' incorporates: - * ActionPort: '/Action Port' - */ - /* Sum: '/Sum3' */ - rtb_Gain3 = (rtb_Merge1 + rtDW->Divide4) + rtDW->Divide1; - if (rtb_Gain3 > 32767) { - rtb_Gain3 = 32767; - } else { - if (rtb_Gain3 < -32768) { - rtb_Gain3 = -32768; - } - } - - /* Switch: '/Switch2' incorporates: - * Interpolation_n-D: '/Vq_max_M1' - * RelationalOperator: '/LowerRelop1' - * RelationalOperator: '/UpperRelop' - * Sum: '/Sum3' - * Switch: '/Switch' - */ - if ((int16_T)rtb_Gain3 > rtP->Vq_max_M1[rtb_r_fieldWeak_XA_o1]) { - /* SignalConversion: '/Signal Conversion2' */ - rtDW->Merge = rtP->Vq_max_M1[rtb_r_fieldWeak_XA_o1]; - } else if ((int16_T)rtb_Gain3 < rtb_Gain2_f) { - /* Switch: '/Switch' incorporates: - * SignalConversion: '/Signal Conversion2' - */ - rtDW->Merge = rtb_Gain2_f; - } else { - /* SignalConversion: '/Signal Conversion2' */ - rtDW->Merge = (int16_T)rtb_Gain3; - } - - /* End of Switch: '/Switch2' */ - /* End of Outputs for SubSystem: '/Voltage_Mode' */ - break; - - case 1: - if (UnitDelay3 != rtb_Sum2_h) { - /* SystemReset for IfAction SubSystem: '/Speed_Mode' incorporates: - * ActionPort: '/Action Port' - */ - - /* SystemReset for Atomic SubSystem: '/PI_clamp_fixdt_n' */ - - /* SystemReset for SwitchCase: '/Switch Case' */ - PI_clamp_fixdt_n_Reset(&rtDW->PI_clamp_fixdt_n_o); - - /* End of SystemReset for SubSystem: '/PI_clamp_fixdt_n' */ - - /* End of SystemReset for SubSystem: '/Speed_Mode' */ - } - - /* Outputs for IfAction SubSystem: '/Speed_Mode' incorporates: - * ActionPort: '/Action Port' - */ - /* Sum: '/Sum3' */ - rtb_Gain3 = rtb_Merge1 - rtb_Switch2_k; - if (rtb_Gain3 > 32767) { - rtb_Gain3 = 32767; - } else { - if (rtb_Gain3 < -32768) { - rtb_Gain3 = -32768; - } - } - - /* Outputs for Atomic SubSystem: '/PI_clamp_fixdt_n' */ - rtDW->Merge = (int16_T) PI_clamp_fixdt_n((int16_T)rtb_Gain3, rtP->cf_nKp, - rtP->cf_nKi, rtP->Vq_max_M1[rtb_r_fieldWeak_XA_o1], rtb_Gain2_f, - (int16_T)((rtDW->Divide4 * rtP->cf_iqKiLimProt) >> 10), - &rtDW->PI_clamp_fixdt_n_o); - - /* End of Outputs for SubSystem: '/PI_clamp_fixdt_n' */ - - /* End of Outputs for SubSystem: '/Speed_Mode' */ - break; - - case 2: - if (UnitDelay3 != rtb_Sum2_h) { - /* SystemReset for IfAction SubSystem: '/Torque_Mode' incorporates: - * ActionPort: '/Action Port' - */ - - /* SystemReset for Atomic SubSystem: '/PI_clamp_fixdt_iq' */ - - /* SystemReset for SwitchCase: '/Switch Case' */ - PI_clamp_fixdt_Reset(&rtDW->PI_clamp_fixdt_iq); - - /* End of SystemReset for SubSystem: '/PI_clamp_fixdt_iq' */ - - /* End of SystemReset for SubSystem: '/Torque_Mode' */ - } - - /* Outputs for IfAction SubSystem: '/Torque_Mode' incorporates: + if (rtDW->UnitDelay5_DSTATE_l) { + /* Outputs for IfAction SubSystem: '/Motor_Limitations' incorporates: * ActionPort: '/Action Port' */ - /* Sum: '/Sum2' */ - rtb_Gain3 = rtb_Merge1 + rtDW->Divide1; - if (rtb_Gain3 > 32767) { - rtb_Gain3 = 32767; + rtDW->Vd_max1 = rtP->Vd_max; + + /* Gain: '/Gain3' incorporates: + * Constant: '/Vd_max1' + */ + rtDW->Gain3 = (int16_T)-rtDW->Vd_max1; + + /* Interpolation_n-D: '/Vq_max_M1' incorporates: + * Abs: '/Abs5' + * PreLookup: '/Vq_max_XA' + * UnitDelay: '/UnitDelay4' + */ + if (rtDW->UnitDelay4_DSTATE_h < 0) { + rtb_Merge_f_idx_1 = (int16_T)-rtDW->UnitDelay4_DSTATE_h; } else { - if (rtb_Gain3 < -32768) { - rtb_Gain3 = -32768; + rtb_Merge_f_idx_1 = rtDW->UnitDelay4_DSTATE_h; + } + + rtDW->Vq_max_M1 = rtP->Vq_max_M1[plook_u8s16_evencka(rtb_Merge_f_idx_1, + rtP->Vq_max_XA[0], (uint16_T)(rtP->Vq_max_XA[1] - rtP->Vq_max_XA[0]), + 45U)]; + + /* End of Interpolation_n-D: '/Vq_max_M1' */ + + /* Gain: '/Gain5' */ + rtDW->Gain5 = (int16_T)-rtDW->Vq_max_M1; + rtDW->i_max = rtP->i_max; + + /* Interpolation_n-D: '/iq_maxSca_M1' incorporates: + * Constant: '/i_max' + * Product: '/Divide4' + */ + rtb_Gain3 = rtDW->Divide3 << 16; + rtb_Gain3 = (rtb_Gain3 == MIN_int32_T) && (rtDW->i_max == -1) ? + MAX_int32_T : rtb_Gain3 / rtDW->i_max; + if (rtb_Gain3 < 0) { + rtb_Gain3 = 0; + } else { + if (rtb_Gain3 > 65535) { + rtb_Gain3 = 65535; } } - /* Switch: '/Switch2' incorporates: - * RelationalOperator: '/LowerRelop1' - * Sum: '/Sum2' + /* Product: '/Divide1' incorporates: + * Interpolation_n-D: '/iq_maxSca_M1' + * PreLookup: '/iq_maxSca_XA' + * Product: '/Divide4' */ - if (!((int16_T)rtb_Gain3 > rtb_id_fieldWeak_M1)) { - /* Switch: '/Switch' incorporates: - * RelationalOperator: '/UpperRelop' - */ - if ((int16_T)rtb_Gain3 < rtb_Saturation) { - rtb_id_fieldWeak_M1 = rtb_Saturation; + rtDW->Divide1_a = (int16_T) + ((rtConstP.iq_maxSca_M1_Table[plook_u8u16_evencka((uint16_T)rtb_Gain3, + 0U, 1311U, 49U)] * rtDW->i_max) >> 16); + + /* Gain: '/Gain1' */ + rtDW->Gain1 = (int16_T)-rtDW->Divide1_a; + + /* SwitchCase: '/Switch Case' incorporates: + * Constant: '/n_max1' + * Constant: '/Constant1' + * Constant: '/cf_KbLimProt' + * Constant: '/cf_nKiLimProt' + * Constant: '/Constant' + * Constant: '/Constant1' + * Constant: '/cf_KbLimProt' + * Constant: '/cf_iqKiLimProt' + * Constant: '/cf_nKiLimProt' + * Sum: '/Sum1' + * Sum: '/Sum1' + * Sum: '/Sum2' + */ + switch (rtDW->z_ctrlMod) { + case 1: + /* Abs: '/Abs5' */ + if (rtDW->Sum1[0] < 0) { + rtb_Merge_f_idx_1 = (int16_T)-rtDW->Sum1[0]; } else { - rtb_id_fieldWeak_M1 = (int16_T)rtb_Gain3; + rtb_Merge_f_idx_1 = rtDW->Sum1[0]; } - /* End of Switch: '/Switch' */ - } + /* End of Abs: '/Abs5' */ - /* End of Switch: '/Switch2' */ + /* Outputs for IfAction SubSystem: '/Voltage_Mode_Protection' incorporates: + * ActionPort: '/Action Port' + */ - /* Sum: '/Sum1' */ - rtb_Gain3 = rtb_id_fieldWeak_M1 - rtDW->Sum1[0]; - if (rtb_Gain3 > 32767) { - rtb_Gain3 = 32767; - } else { - if (rtb_Gain3 < -32768) { - rtb_Gain3 = -32768; + /* Outputs for Atomic SubSystem: '/I_backCalc_fixdt' */ + I_backCalc_fixdt((int16_T)(rtDW->Divide1_a - rtb_Merge_f_idx_1), + rtP->cf_iqKiLimProt, rtP->cf_KbLimProt, rtb_Switch2_l, + 0, &rtDW->Switch2_c, &rtDW->I_backCalc_fixdt_i); + + /* End of Outputs for SubSystem: '/I_backCalc_fixdt' */ + + /* Outputs for Atomic SubSystem: '/I_backCalc_fixdt1' */ + I_backCalc_fixdt((int16_T)(rtP->n_max - rtb_Abs5), rtP->cf_nKiLimProt, + rtP->cf_KbLimProt, rtb_Switch2_l, 0, &rtDW->Switch2_l, + &rtDW->I_backCalc_fixdt1); + + /* End of Outputs for SubSystem: '/I_backCalc_fixdt1' */ + + /* End of Outputs for SubSystem: '/Voltage_Mode_Protection' */ + break; + + case 2: + /* Outputs for IfAction SubSystem: '/Speed_Mode_Protection' incorporates: + * ActionPort: '/Action Port' + */ + /* Switch: '/Switch2' incorporates: + * RelationalOperator: '/LowerRelop1' + * RelationalOperator: '/UpperRelop' + * Switch: '/Switch' + */ + if (rtDW->Sum1[0] > rtDW->Divide1_a) { + rtb_Merge_f_idx_1 = rtDW->Divide1_a; + } else if (rtDW->Sum1[0] < rtDW->Gain1) { + /* Switch: '/Switch' */ + rtb_Merge_f_idx_1 = rtDW->Gain1; + } else { + rtb_Merge_f_idx_1 = rtDW->Sum1[0]; } + + /* End of Switch: '/Switch2' */ + + /* Product: '/Divide1' incorporates: + * Constant: '/cf_iqKiLimProt' + * Sum: '/Sum3' + */ + rtDW->Divide1 = (int16_T)(rtb_Merge_f_idx_1 - rtDW->Sum1[0]) * + rtP->cf_iqKiLimProt; + + /* End of Outputs for SubSystem: '/Speed_Mode_Protection' */ + break; + + case 3: + /* Outputs for IfAction SubSystem: '/Torque_Mode_Protection' incorporates: + * ActionPort: '/Action Port' + */ + + /* Outputs for Atomic SubSystem: '/I_backCalc_fixdt' */ + I_backCalc_fixdt((int16_T)(rtP->n_max - rtb_Abs5), rtP->cf_nKiLimProt, + rtP->cf_KbLimProt, rtDW->Vq_max_M1, 0, &rtDW->Switch2, + &rtDW->I_backCalc_fixdt_g); + + /* End of Outputs for SubSystem: '/I_backCalc_fixdt' */ + + /* End of Outputs for SubSystem: '/Torque_Mode_Protection' */ + break; } - /* Outputs for Atomic SubSystem: '/PI_clamp_fixdt_iq' */ + /* End of SwitchCase: '/Switch Case' */ - /* SignalConversion: '/Signal Conversion2' incorporates: - * Constant: '/cf_iqKi' - * Constant: '/cf_iqKp' - * Constant: '/constant' - * Interpolation_n-D: '/Vq_max_M1' - * Sum: '/Sum1' - */ - PI_clamp_fixdt((int16_T)rtb_Gain3, rtP->cf_iqKp, rtP->cf_iqKi, - rtP->Vq_max_M1[rtb_r_fieldWeak_XA_o1], rtb_Gain2_f, 0, - &rtDW->Merge, &rtDW->PI_clamp_fixdt_iq); + /* Gain: '/Gain4' */ + rtDW->Gain4_c = (int16_T)-rtDW->i_max; - /* End of Outputs for SubSystem: '/PI_clamp_fixdt_iq' */ - - /* End of Outputs for SubSystem: '/Torque_Mode' */ - break; - - case 3: - /* Outputs for IfAction SubSystem: '/Open_Mode' incorporates: - * ActionPort: '/Action Port' - */ - rtDW->Merge = rtb_Merge1; - - /* End of Outputs for SubSystem: '/Open_Mode' */ - break; + /* End of Outputs for SubSystem: '/Motor_Limitations' */ } - /* End of SwitchCase: '/Switch Case' */ + /* End of If: '/If3' */ - /* Sum: '/Sum6' incorporates: - * Product: '/Divide1' - * Product: '/Divide4' + /* If: '/If1' incorporates: + * UnitDelay: '/UnitDelay6' */ - rtb_Gain3 = (int16_T)((rtDW->Switch1 * rtb_Merge) >> 14) - (int16_T) - ((rtDW->Merge * rtb_MinMax2) >> 14); + if (rtDW->UnitDelay6_DSTATE) { + /* Outputs for IfAction SubSystem: '/FOC' incorporates: + * ActionPort: '/Action Port' + */ + /* If: '/If1' incorporates: + * Constant: '/cf_idKi1' + * Constant: '/cf_idKp1' + * Constant: '/constant1' + * Sum: '/Sum3' + */ + if (rtb_LogicalOperator) { + /* Outputs for IfAction SubSystem: '/Vd_Calculation' incorporates: + * ActionPort: '/Action Port' + */ + /* Switch: '/Switch2' incorporates: + * RelationalOperator: '/LowerRelop1' + * RelationalOperator: '/UpperRelop' + * Switch: '/Switch' + */ + if (rtb_toNegative > rtDW->i_max) { + rtb_toNegative = rtDW->i_max; + } else { + if (rtb_toNegative < rtDW->Gain4_c) { + /* Switch: '/Switch' */ + rtb_toNegative = rtDW->Gain4_c; + } + } + + /* End of Switch: '/Switch2' */ + + /* Sum: '/Sum3' */ + rtb_Gain3 = rtb_toNegative - rtDW->Sum1[1]; + if (rtb_Gain3 > 32767) { + rtb_Gain3 = 32767; + } else { + if (rtb_Gain3 < -32768) { + rtb_Gain3 = -32768; + } + } + + /* Outputs for Atomic SubSystem: '/PI_clamp_fixdt' */ + PI_clamp_fixdt((int16_T)rtb_Gain3, rtP->cf_idKp, rtP->cf_idKi, + rtDW->Vd_max1, rtDW->Gain3, 0, &rtDW->Switch1, + &rtDW->PI_clamp_fixdt_k); + + /* End of Outputs for SubSystem: '/PI_clamp_fixdt' */ + + /* End of Outputs for SubSystem: '/Vd_Calculation' */ + } + + /* End of If: '/If1' */ + + /* SwitchCase: '/Switch Case' incorporates: + * Constant: '/cf_nKi' + * Constant: '/cf_nKp' + * Constant: '/cf_iqKi' + * Constant: '/cf_iqKp' + * Constant: '/constant2' + * Inport: '/r_inpTgtSca' + * Sum: '/Sum3' + * Sum: '/Sum2' + */ + switch (rtDW->z_ctrlMod) { + case 1: + /* Outputs for IfAction SubSystem: '/Voltage_Mode' incorporates: + * ActionPort: '/Action Port' + */ + /* MinMax: '/MinMax' */ + if (!(rtb_Switch2_l < rtDW->Switch2_c)) { + rtb_Switch2_l = rtDW->Switch2_c; + } + + if (!(rtb_Switch2_l < rtDW->Switch2_l)) { + rtb_Switch2_l = rtDW->Switch2_l; + } + + /* End of MinMax: '/MinMax' */ + + /* Signum: '/SignDeltaU2' */ + if (rtDW->Merge1 < 0) { + rtb_Merge_f_idx_1 = -1; + } else { + rtb_Merge_f_idx_1 = (int16_T)(rtDW->Merge1 > 0); + } + + /* End of Signum: '/SignDeltaU2' */ + + /* Product: '/Divide1' */ + rtb_Merge = (int16_T)(rtb_Switch2_l * rtb_Merge_f_idx_1); + + /* Switch: '/Switch2' incorporates: + * RelationalOperator: '/LowerRelop1' + * RelationalOperator: '/UpperRelop' + * Switch: '/Switch' + */ + if (rtb_Merge > rtDW->Vq_max_M1) { + /* SignalConversion: '/Signal Conversion2' */ + rtDW->Merge = rtDW->Vq_max_M1; + } else if (rtb_Merge < rtDW->Gain5) { + /* Switch: '/Switch' incorporates: + * SignalConversion: '/Signal Conversion2' + */ + rtDW->Merge = rtDW->Gain5; + } else { + /* SignalConversion: '/Signal Conversion2' incorporates: + * Switch: '/Switch' + */ + rtDW->Merge = rtb_Merge; + } + + /* End of Switch: '/Switch2' */ + /* End of Outputs for SubSystem: '/Voltage_Mode' */ + break; + + case 2: + /* Outputs for IfAction SubSystem: '/Speed_Mode' incorporates: + * ActionPort: '/Action Port' + */ + /* Sum: '/Sum3' */ + rtb_Gain3 = rtDW->Merge1 - rtb_Switch2_k; + if (rtb_Gain3 > 32767) { + rtb_Gain3 = 32767; + } else { + if (rtb_Gain3 < -32768) { + rtb_Gain3 = -32768; + } + } + + /* Outputs for Atomic SubSystem: '/PI_clamp_fixdt' */ + PI_clamp_fixdt((int16_T)rtb_Gain3, rtP->cf_nKp, rtP->cf_nKi, + rtDW->Vq_max_M1, rtDW->Gain5, rtDW->Divide1, &rtDW->Merge, + &rtDW->PI_clamp_fixdt_o); + + /* End of Outputs for SubSystem: '/PI_clamp_fixdt' */ + + /* End of Outputs for SubSystem: '/Speed_Mode' */ + break; + + case 3: + /* Outputs for IfAction SubSystem: '/Torque_Mode' incorporates: + * ActionPort: '/Action Port' + */ + /* Gain: '/Gain4' */ + rtb_Merge = (int16_T)-rtDW->Switch2; + + /* Switch: '/Switch2' incorporates: + * RelationalOperator: '/LowerRelop1' + * RelationalOperator: '/UpperRelop' + * Switch: '/Switch' + */ + if (rtDW->Merge1 > rtDW->Divide1_a) { + rtb_Merge_f_idx_1 = rtDW->Divide1_a; + } else if (rtDW->Merge1 < rtDW->Gain1) { + /* Switch: '/Switch' */ + rtb_Merge_f_idx_1 = rtDW->Gain1; + } else { + rtb_Merge_f_idx_1 = rtDW->Merge1; + } + + /* End of Switch: '/Switch2' */ + + /* Sum: '/Sum2' */ + rtb_Gain3 = rtb_Merge_f_idx_1 - rtDW->Sum1[0]; + if (rtb_Gain3 > 32767) { + rtb_Gain3 = 32767; + } else { + if (rtb_Gain3 < -32768) { + rtb_Gain3 = -32768; + } + } + + /* MinMax: '/MinMax1' */ + if (rtDW->Vq_max_M1 < rtDW->Switch2) { + rtb_Merge_f_idx_1 = rtDW->Vq_max_M1; + } else { + rtb_Merge_f_idx_1 = rtDW->Switch2; + } + + /* End of MinMax: '/MinMax1' */ + + /* MinMax: '/MinMax2' */ + if (!(rtb_Merge > rtDW->Gain5)) { + rtb_Merge = rtDW->Gain5; + } + + /* End of MinMax: '/MinMax2' */ + + /* Outputs for Atomic SubSystem: '/PI_clamp_fixdt' */ + PI_clamp_fixdt((int16_T)rtb_Gain3, rtP->cf_iqKp, rtP->cf_iqKi, + rtb_Merge_f_idx_1, rtb_Merge, 0, &rtDW->Merge, + &rtDW->PI_clamp_fixdt_a); + + /* End of Outputs for SubSystem: '/PI_clamp_fixdt' */ + + /* End of Outputs for SubSystem: '/Torque_Mode' */ + break; + + default: + /* Outputs for IfAction SubSystem: '/Open_Mode' incorporates: + * ActionPort: '/Action Port' + */ + rtDW->Merge = rtDW->Merge1; + + /* End of Outputs for SubSystem: '/Open_Mode' */ + break; + } + + /* End of SwitchCase: '/Switch Case' */ + /* End of Outputs for SubSystem: '/FOC' */ + } + + /* End of If: '/If1' */ + + /* Sum: '/Sum6' incorporates: + * Interpolation_n-D: '/r_cos_M1' + * Interpolation_n-D: '/r_sin_M1' + * Product: '/Divide1' + * Product: '/Divide4' + */ + rtb_Gain3 = (int16_T)((rtDW->Switch1 * rtConstP.r_cos_M1_Table[rtb_Sum_l]) >> + 14) - (int16_T)((rtDW->Merge * + rtConstP.r_sin_M1_Table[rtb_Sum_l]) >> 14); if (rtb_Gain3 > 32767) { rtb_Gain3 = 32767; } else { @@ -2323,12 +2123,15 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - /* Sum: '/Sum1' incorporates: - * Product: '/Divide2' - * Product: '/Divide3' + /* Sum: '/Sum1' incorporates: + * Interpolation_n-D: '/r_cos_M1' + * Interpolation_n-D: '/r_sin_M1' + * Product: '/Divide2' + * Product: '/Divide3' */ - rtb_DataTypeConversion = (int16_T)((rtDW->Switch1 * rtb_MinMax2) >> 14) + - (int16_T)((rtDW->Merge * rtb_Merge) >> 14); + rtb_DataTypeConversion = (int16_T)((rtDW->Switch1 * + rtConstP.r_sin_M1_Table[rtb_Sum_l]) >> 14) + (int16_T)((rtDW->Merge * + rtConstP.r_cos_M1_Table[rtb_Sum_l]) >> 14); if (rtb_DataTypeConversion > 32767) { rtb_DataTypeConversion = 32767; } else { @@ -2337,15 +2140,15 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - /* Gain: '/Gain1' incorporates: - * Sum: '/Sum1' + /* Gain: '/Gain1' incorporates: + * Sum: '/Sum1' */ - rtb_DataTypeConversion = 14189 * (int16_T)rtb_DataTypeConversion; + rtb_DataTypeConversion *= 14189; - /* Sum: '/Sum6' incorporates: - * Gain: '/Gain1' - * Gain: '/Gain3' - * Sum: '/Sum6' + /* Sum: '/Sum6' incorporates: + * Gain: '/Gain1' + * Gain: '/Gain3' + * Sum: '/Sum6' */ rtb_DataTypeConversion = (((rtb_DataTypeConversion < 0 ? 16383 : 0) + rtb_DataTypeConversion) >> 14) - ((int16_T)(((int16_T)rtb_Gain3 < 0) + @@ -2358,9 +2161,9 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - /* Sum: '/Sum2' incorporates: - * Sum: '/Sum6' - * Sum: '/Sum6' + /* Sum: '/Sum2' incorporates: + * Sum: '/Sum6' + * Sum: '/Sum6' */ rtb_Switch1 = -(int16_T)rtb_Gain3 - (int16_T)rtb_DataTypeConversion; if (rtb_Switch1 > 32767) { @@ -2371,39 +2174,39 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - /* MinMax: '/MinMax1' incorporates: - * Sum: '/Sum2' - * Sum: '/Sum6' - * Sum: '/Sum6' + /* MinMax: '/MinMax1' incorporates: + * Sum: '/Sum2' + * Sum: '/Sum6' + * Sum: '/Sum6' + */ + rtb_Switch2_l = (int16_T)rtb_Gain3; + if (!((int16_T)rtb_Gain3 < (int16_T)rtb_DataTypeConversion)) { + rtb_Switch2_l = (int16_T)rtb_DataTypeConversion; + } + + if (!(rtb_Switch2_l < (int16_T)rtb_Switch1)) { + rtb_Switch2_l = (int16_T)rtb_Switch1; + } + + /* MinMax: '/MinMax2' incorporates: + * Sum: '/Sum2' + * Sum: '/Sum6' + * Sum: '/Sum6' */ rtb_Merge = (int16_T)rtb_Gain3; - if (!((int16_T)rtb_Gain3 < (int16_T)rtb_DataTypeConversion)) { + if (!((int16_T)rtb_Gain3 > (int16_T)rtb_DataTypeConversion)) { rtb_Merge = (int16_T)rtb_DataTypeConversion; } - if (!(rtb_Merge < (int16_T)rtb_Switch1)) { + if (!(rtb_Merge > (int16_T)rtb_Switch1)) { rtb_Merge = (int16_T)rtb_Switch1; } - /* MinMax: '/MinMax2' incorporates: - * Sum: '/Sum2' - * Sum: '/Sum6' - * Sum: '/Sum6' + /* Sum: '/Add' incorporates: + * MinMax: '/MinMax1' + * MinMax: '/MinMax2' */ - rtb_Saturation = (int16_T)rtb_Gain3; - if (!((int16_T)rtb_Gain3 > (int16_T)rtb_DataTypeConversion)) { - rtb_Saturation = (int16_T)rtb_DataTypeConversion; - } - - if (!(rtb_Saturation > (int16_T)rtb_Switch1)) { - rtb_Saturation = (int16_T)rtb_Switch1; - } - - /* Sum: '/Add' incorporates: - * MinMax: '/MinMax1' - * MinMax: '/MinMax2' - */ - rtb_Sum1 = rtb_Merge + rtb_Saturation; + rtb_Sum1 = rtb_Switch2_l + rtb_Merge; if (rtb_Sum1 > 32767) { rtb_Sum1 = 32767; } else { @@ -2412,15 +2215,15 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - /* Gain: '/Gain2' incorporates: - * Sum: '/Add' + /* Gain: '/Gain2' incorporates: + * Sum: '/Add' */ - rtb_Gain2_f = (int16_T)(rtb_Sum1 >> 1); + rtb_DataTypeConversion2 = (int16_T)(rtb_Sum1 >> 1); - /* Sum: '/Add1' incorporates: - * Sum: '/Sum6' + /* Sum: '/Add1' incorporates: + * Sum: '/Sum6' */ - rtb_Gain3 = (int16_T)rtb_Gain3 - rtb_Gain2_f; + rtb_Gain3 = (int16_T)rtb_Gain3 - rtb_DataTypeConversion2; if (rtb_Gain3 > 32767) { rtb_Gain3 = 32767; } else { @@ -2429,15 +2232,15 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - /* Gain: '/Gain4' incorporates: - * Sum: '/Add1' + /* Gain: '/Gain4' incorporates: + * Sum: '/Add1' */ rtDW->Gain4[0] = (int16_T)((18919 * rtb_Gain3) >> 14); - /* Sum: '/Add1' incorporates: - * Sum: '/Sum6' + /* Sum: '/Add1' incorporates: + * Sum: '/Sum6' */ - rtb_Gain3 = (int16_T)rtb_DataTypeConversion - rtb_Gain2_f; + rtb_Gain3 = (int16_T)rtb_DataTypeConversion - rtb_DataTypeConversion2; if (rtb_Gain3 > 32767) { rtb_Gain3 = 32767; } else { @@ -2446,15 +2249,15 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - /* Gain: '/Gain4' incorporates: - * Sum: '/Add1' + /* Gain: '/Gain4' incorporates: + * Sum: '/Add1' */ rtDW->Gain4[1] = (int16_T)((18919 * rtb_Gain3) >> 14); - /* Sum: '/Add1' incorporates: - * Sum: '/Sum2' + /* Sum: '/Add1' incorporates: + * Sum: '/Sum2' */ - rtb_Gain3 = (int16_T)rtb_Switch1 - rtb_Gain2_f; + rtb_Gain3 = (int16_T)rtb_Switch1 - rtb_DataTypeConversion2; if (rtb_Gain3 > 32767) { rtb_Gain3 = 32767; } else { @@ -2463,220 +2266,202 @@ void BLDC_controller_step(RT_MODEL *const rtM) } } - /* Gain: '/Gain4' incorporates: - * Sum: '/Add1' + /* Gain: '/Gain4' incorporates: + * Sum: '/Add1' */ rtDW->Gain4[2] = (int16_T)((18919 * rtb_Gain3) >> 14); - /* End of Outputs for SubSystem: '/F04_Field_Oriented_Control' */ + /* Update for UnitDelay: '/UnitDelay4' */ + rtDW->UnitDelay4_DSTATE_h = rtDW->Switch1; + + /* End of Outputs for SubSystem: '/F05_Field_Oriented_Control' */ } /* End of If: '/If1' */ - /* Switch: '/Switch2' incorporates: + /* Switch: '/Switch2' incorporates: * Constant: '/z_ctrlTypSel1' - * Constant: '/CTRL_COMM1' - * RelationalOperator: '/Relational Operator6' + * Constant: '/CTRL_COMM1' + * RelationalOperator: '/Relational Operator6' */ if (rtP->z_ctrlTypSel == 2) { rtb_Merge = rtDW->Merge; } else { - rtb_Merge = rtb_Merge1; + rtb_Merge = rtDW->Merge1; } - /* End of Switch: '/Switch2' */ + /* End of Switch: '/Switch2' */ - /* If: '/If' incorporates: + /* If: '/If' incorporates: + * Constant: '/vec_hallToPos' * Constant: '/z_ctrlTypSel1' - * Constant: '/CTRL_COMM2' - * Constant: '/CTRL_COMM3' - * Constant: '/vec_hallToPos' - * Inport: '/V_phaABC_FOC_in' - * Logic: '/Logical Operator1' - * Logic: '/Logical Operator2' - * LookupNDDirect: '/z_commutMap_M1' - * RelationalOperator: '/Relational Operator1' - * RelationalOperator: '/Relational Operator2' - * Selector: '/Selector' + * Constant: '/CTRL_COMM2' + * Constant: '/CTRL_COMM3' + * Inport: '/V_phaABC_FOC_in' + * Logic: '/Logical Operator1' + * Logic: '/Logical Operator2' + * LookupNDDirect: '/z_commutMap_M1' + * RelationalOperator: '/Relational Operator1' + * RelationalOperator: '/Relational Operator2' + * Selector: '/Selector' * - * About '/z_commutMap_M1': + * About '/z_commutMap_M1': * 2-dimensional Direct Look-Up returning a Column */ if (rtb_LogicalOperator && (rtP->z_ctrlTypSel == 2)) { - /* Outputs for IfAction SubSystem: '/F05_02_FOC_Method' incorporates: - * ActionPort: '/Action Port' + /* Outputs for IfAction SubSystem: '/FOC_Method' incorporates: + * ActionPort: '/Action Port' */ - rtb_Saturation = rtDW->Gain4[0]; - rtb_id_fieldWeak_M1 = rtDW->Gain4[1]; - rtb_Merge1 = rtDW->Gain4[2]; + rtb_DataTypeConversion2 = rtDW->Gain4[0]; + rtb_Merge_f_idx_1 = rtDW->Gain4[1]; + rtb_Saturation1 = rtDW->Gain4[2]; - /* End of Outputs for SubSystem: '/F05_02_FOC_Method' */ + /* End of Outputs for SubSystem: '/FOC_Method' */ } else if (rtb_LogicalOperator && (rtP->z_ctrlTypSel == 1)) { - /* Outputs for IfAction SubSystem: '/F05_01_SIN_Method' incorporates: - * ActionPort: '/Action Port' + /* Outputs for IfAction SubSystem: '/SIN_Method' incorporates: + * ActionPort: '/Action Port' */ - /* Relay: '/n_fieldWeakAuth' */ - if (rtb_Abs5 >= rtP->n_fieldWeakAuthHi) { - rtDW->n_fieldWeakAuth_Mode_m = true; - } else { - if (rtb_Abs5 <= rtP->n_fieldWeakAuthLo) { - rtDW->n_fieldWeakAuth_Mode_m = false; - } - } - - /* Switch: '/Switch_PhaAdv' incorporates: - * Constant: '/b_fieldWeakEna' - * Logic: '/Logical Operator1' - * Product: '/Divide2' - * Product: '/Divide3' - * Relay: '/n_fieldWeakAuth' - * Sum: '/Sum3' + /* Switch: '/Switch_PhaAdv' incorporates: + * Constant: '/b_fieldWeakEna' + * Product: '/Divide2' + * Product: '/Divide3' + * Sum: '/Sum3' */ - if (rtP->b_fieldWeakEna && rtDW->n_fieldWeakAuth_Mode_m) { - /* Abs: '/Abs5' */ - if (rtb_Merge1 < 0) { - rtb_id_fieldWeak_M1 = (int16_T)-rtb_Merge1; - } else { - rtb_id_fieldWeak_M1 = rtb_Merge1; - } - - /* End of Abs: '/Abs5' */ - - /* PreLookup: '/r_phaAdv_XA' */ - rtb_Sum = plook_u8s16u8n7_evenc_s(rtb_id_fieldWeak_M1, rtP->r_phaAdv_XA[0], - (uint16_T)(rtP->r_phaAdv_XA[1] - rtP->r_phaAdv_XA[0]), 10U, - &rtb_r_fieldWeak_XA_o1); - - /* Interpolation_n-D: '/a_phaAdv_M1' */ - rtb_MinMax2 = intrp1d_s16s32s32u8u8n7l_s(rtb_Sum, rtb_r_fieldWeak_XA_o1, - rtP->a_phaAdv_M1); - - /* Sum: '/Sum3' incorporates: - * Product: '/Product2' + if (rtP->b_fieldWeakEna) { + /* Sum: '/Sum3' incorporates: + * Product: '/Product2' */ - rtb_MinMax2 = (int16_T)(((int16_T)(rtb_MinMax2 * rtDW->Switch2) >> 2) + - rtb_Switch2_fl); - rtb_MinMax2 -= (int16_T)(23040 * (int16_T)div_nde_s32_floor(rtb_MinMax2, - 23040)); + rtb_Saturation1 = (int16_T)((int16_T)((int16_T)(rtDW->Divide3 * + rtDW->Switch2_e) << 2) + rtb_Switch2_fl); + rtb_Saturation1 -= (int16_T)(23040 * (int16_T)div_nde_s32_floor + (rtb_Saturation1, 23040)); } else { - rtb_MinMax2 = rtb_Switch2_fl; + rtb_Saturation1 = rtb_Switch2_fl; } - /* End of Switch: '/Switch_PhaAdv' */ + /* End of Switch: '/Switch_PhaAdv' */ - /* PreLookup: '/a_elecAngle_XA' */ - rtb_Sum = plook_u8s16_evencka(rtb_MinMax2, 0, 128U, 180U); + /* PreLookup: '/a_elecAngle_XA' */ + rtb_Sum = plook_u8s16_evencka(rtb_Saturation1, 0, 128U, 180U); - /* Product: '/Divide2' incorporates: - * Interpolation_n-D: '/r_sin3PhaA_M1' - * Interpolation_n-D: '/r_sin3PhaB_M1' - * Interpolation_n-D: '/r_sin3PhaC_M1' + /* Product: '/Divide2' incorporates: + * Interpolation_n-D: '/r_sin3PhaA_M1' + * Interpolation_n-D: '/r_sin3PhaB_M1' + * Interpolation_n-D: '/r_sin3PhaC_M1' */ - rtb_Saturation = (int16_T)((rtb_Merge1 * + rtb_DataTypeConversion2 = (int16_T)((rtDW->Merge1 * rtConstP.r_sin3PhaA_M1_Table[rtb_Sum]) >> 14); - rtb_id_fieldWeak_M1 = (int16_T)((rtb_Merge1 * + rtb_Merge_f_idx_1 = (int16_T)((rtDW->Merge1 * rtConstP.r_sin3PhaB_M1_Table[rtb_Sum]) >> 14); - rtb_Merge1 = (int16_T)((rtb_Merge1 * rtConstP.r_sin3PhaC_M1_Table[rtb_Sum]) >> - 14); + rtb_Saturation1 = (int16_T)((rtDW->Merge1 * + rtConstP.r_sin3PhaC_M1_Table[rtb_Sum]) >> 14); - /* End of Outputs for SubSystem: '/F05_01_SIN_Method' */ + /* End of Outputs for SubSystem: '/SIN_Method' */ } else { - /* Outputs for IfAction SubSystem: '/F05_00_COM_Method' incorporates: - * ActionPort: '/Action Port' + /* Outputs for IfAction SubSystem: '/COM_Method' incorporates: + * ActionPort: '/Action Port' */ if (rtConstP.vec_hallToPos_Value[rtb_Sum] > 5) { - /* LookupNDDirect: '/z_commutMap_M1' + /* LookupNDDirect: '/z_commutMap_M1' * - * About '/z_commutMap_M1': + * About '/z_commutMap_M1': * 2-dimensional Direct Look-Up returning a Column */ rtb_Sum2_h = 5; } else if (rtConstP.vec_hallToPos_Value[rtb_Sum] < 0) { - /* LookupNDDirect: '/z_commutMap_M1' + /* LookupNDDirect: '/z_commutMap_M1' * - * About '/z_commutMap_M1': + * About '/z_commutMap_M1': * 2-dimensional Direct Look-Up returning a Column */ rtb_Sum2_h = 0; } else { - /* LookupNDDirect: '/z_commutMap_M1' incorporates: - * Constant: '/vec_hallToPos' - * Selector: '/Selector' + /* LookupNDDirect: '/z_commutMap_M1' incorporates: + * Constant: '/vec_hallToPos' + * Selector: '/Selector' * - * About '/z_commutMap_M1': + * About '/z_commutMap_M1': * 2-dimensional Direct Look-Up returning a Column */ rtb_Sum2_h = rtConstP.vec_hallToPos_Value[rtb_Sum]; } - /* LookupNDDirect: '/z_commutMap_M1' incorporates: - * Constant: '/vec_hallToPos' - * Selector: '/Selector' + /* LookupNDDirect: '/z_commutMap_M1' incorporates: + * Constant: '/vec_hallToPos' + * Selector: '/Selector' * - * About '/z_commutMap_M1': + * About '/z_commutMap_M1': * 2-dimensional Direct Look-Up returning a Column */ rtb_DataTypeConversion = rtb_Sum2_h * 3; - /* Product: '/Divide2' incorporates: - * LookupNDDirect: '/z_commutMap_M1' + /* Product: '/Divide2' incorporates: + * LookupNDDirect: '/z_commutMap_M1' * - * About '/z_commutMap_M1': + * About '/z_commutMap_M1': * 2-dimensional Direct Look-Up returning a Column */ - rtb_Saturation = (int16_T)(rtb_Merge * + rtb_DataTypeConversion2 = (int16_T)(rtb_Merge * rtConstP.z_commutMap_M1_table[rtb_DataTypeConversion]); - rtb_id_fieldWeak_M1 = (int16_T)(rtConstP.z_commutMap_M1_table[1 + + rtb_Merge_f_idx_1 = (int16_T)(rtConstP.z_commutMap_M1_table[1 + rtb_DataTypeConversion] * rtb_Merge); - rtb_Merge1 = (int16_T)(rtConstP.z_commutMap_M1_table[2 + + rtb_Saturation1 = (int16_T)(rtConstP.z_commutMap_M1_table[2 + rtb_DataTypeConversion] * rtb_Merge); - /* End of Outputs for SubSystem: '/F05_00_COM_Method' */ + /* End of Outputs for SubSystem: '/COM_Method' */ } - /* End of If: '/If' */ + /* End of If: '/If' */ /* Outport: '/DC_phaA' incorporates: - * DataTypeConversion: '/Data Type Conversion6' + * DataTypeConversion: '/Data Type Conversion6' */ - rtY->DC_phaA = (int16_T)(rtb_Saturation >> 4); + rtY->DC_phaA = (int16_T)(rtb_DataTypeConversion2 >> 4); /* Outport: '/DC_phaB' incorporates: - * DataTypeConversion: '/Data Type Conversion6' + * DataTypeConversion: '/Data Type Conversion6' */ - rtY->DC_phaB = (int16_T)(rtb_id_fieldWeak_M1 >> 4); + rtY->DC_phaB = (int16_T)(rtb_Merge_f_idx_1 >> 4); - /* Update for UnitDelay: '/UnitDelay3' incorporates: + /* Update for UnitDelay: '/UnitDelay3' incorporates: * Inport: '/b_hallA ' */ rtDW->UnitDelay3_DSTATE_fy = rtU->b_hallA; - /* Update for UnitDelay: '/UnitDelay1' incorporates: + /* Update for UnitDelay: '/UnitDelay1' incorporates: * Inport: '/b_hallB' */ rtDW->UnitDelay1_DSTATE = rtU->b_hallB; - /* Update for UnitDelay: '/UnitDelay2' incorporates: + /* Update for UnitDelay: '/UnitDelay2' incorporates: * Inport: '/b_hallC' */ rtDW->UnitDelay2_DSTATE_f = rtU->b_hallC; - /* Update for UnitDelay: '/UnitDelay3' */ + /* Update for UnitDelay: '/UnitDelay3' */ rtDW->UnitDelay3_DSTATE = rtb_Switch1_l; - /* Update for UnitDelay: '/UnitDelay4' */ + /* Update for UnitDelay: '/UnitDelay4' */ rtDW->UnitDelay4_DSTATE_e = rtb_Abs5; - /* Update for UnitDelay: '/UnitDelay4' */ + /* Update for UnitDelay: '/UnitDelay2' incorporates: + * UnitDelay: '/UnitDelay6' + */ + rtDW->UnitDelay2_DSTATE_g = rtDW->UnitDelay6_DSTATE; + + /* Update for UnitDelay: '/UnitDelay4' */ rtDW->UnitDelay4_DSTATE_eu = rtb_Merge; - /* Update for UnitDelay: '/UnitDelay1' */ - rtDW->UnitDelay1_DSTATE_p = rtb_Sum_l; + /* Update for UnitDelay: '/UnitDelay5' */ + rtDW->UnitDelay5_DSTATE_l = rtb_RelationalOperator4_d; + + /* Update for UnitDelay: '/UnitDelay6' */ + rtDW->UnitDelay6_DSTATE = rtb_RelationalOperator9; /* Outport: '/DC_phaC' incorporates: - * DataTypeConversion: '/Data Type Conversion6' + * DataTypeConversion: '/Data Type Conversion6' */ - rtY->DC_phaC = (int16_T)(rtb_Merge1 >> 4); + rtY->DC_phaC = (int16_T)(rtb_Saturation1 >> 4); /* Outport: '/n_mot' incorporates: * DataTypeConversion: '/Data Type Conversion1' @@ -2708,48 +2493,42 @@ void BLDC_controller_initialize(RT_MODEL *const rtM) DW *rtDW = ((DW *) rtM->dwork); /* Start for Atomic SubSystem: '/BLDC_controller' */ - /* Start for If: '/If2' */ + /* Start for If: '/If4' */ + rtDW->If4_ActiveSubsystem = -1; + + /* Start for IfAction SubSystem: '/F03_Control_Mode_Manager' */ + /* Start for If: '/If2' */ rtDW->If2_ActiveSubsystem = -1; - /* Start for If: '/If2' */ - rtDW->If2_ActiveSubsystem_j = -1; + /* End of Start for SubSystem: '/F03_Control_Mode_Manager' */ /* Start for If: '/If1' */ rtDW->If1_ActiveSubsystem = -1; - /* Start for IfAction SubSystem: '/F04_Field_Oriented_Control' */ - /* Start for If: '/If2' */ + /* Start for IfAction SubSystem: '/F05_Field_Oriented_Control' */ + /* Start for If: '/If2' */ rtDW->If2_ActiveSubsystem_a = -1; - /* Start for If: '/If1' */ - rtDW->If1_ActiveSubsystem_e = -1; - - /* Start for If: '/If1' */ - rtDW->If1_ActiveSubsystem_f = -1; - - /* Start for If: '/If2' */ - rtDW->If2_ActiveSubsystem_c = -1; - - /* Start for SwitchCase: '/Switch Case' */ - rtDW->SwitchCase_ActiveSubsystem = -1; - - /* End of Start for SubSystem: '/F04_Field_Oriented_Control' */ + /* End of Start for SubSystem: '/F05_Field_Oriented_Control' */ /* End of Start for SubSystem: '/BLDC_controller' */ /* SystemInitialize for Atomic SubSystem: '/BLDC_controller' */ - /* InitializeConditions for UnitDelay: '/UnitDelay3' */ + /* InitializeConditions for UnitDelay: '/UnitDelay3' */ rtDW->UnitDelay3_DSTATE = rtP->z_maxCntRst; - /* SystemInitialize for IfAction SubSystem: '/Raw_Motor_Speed_Estimation' */ - /* SystemInitialize for Outport: '/z_counter' */ + /* InitializeConditions for UnitDelay: '/UnitDelay2' */ + rtDW->UnitDelay2_DSTATE_g = true; + + /* SystemInitialize for IfAction SubSystem: '/Raw_Motor_Speed_Estimation' */ + /* SystemInitialize for Outport: '/z_counter' */ rtDW->z_counterRawPrev = rtP->z_maxCntRst; - /* End of SystemInitialize for SubSystem: '/Raw_Motor_Speed_Estimation' */ + /* End of SystemInitialize for SubSystem: '/Raw_Motor_Speed_Estimation' */ - /* SystemInitialize for Atomic SubSystem: '/Counter' */ + /* SystemInitialize for Atomic SubSystem: '/Counter' */ Counter_Init(&rtDW->Counter_e, rtP->z_maxCntRst); - /* End of SystemInitialize for SubSystem: '/Counter' */ + /* End of SystemInitialize for SubSystem: '/Counter' */ /* SystemInitialize for IfAction SubSystem: '/F02_Diagnostics' */ @@ -2760,13 +2539,68 @@ void BLDC_controller_initialize(RT_MODEL *const rtM) /* End of SystemInitialize for SubSystem: '/F02_Diagnostics' */ - /* SystemInitialize for IfAction SubSystem: '/Open_Mode' */ - /* SystemInitialize for Atomic SubSystem: '/rising_edge_init' */ - /* InitializeConditions for UnitDelay: '/UnitDelay' */ + /* SystemInitialize for IfAction SubSystem: '/F03_Control_Mode_Manager' */ + /* SystemInitialize for IfAction SubSystem: '/Open_Mode' */ + /* SystemInitialize for Atomic SubSystem: '/rising_edge_init' */ + /* InitializeConditions for UnitDelay: '/UnitDelay' */ rtDW->UnitDelay_DSTATE_e = true; - /* End of SystemInitialize for SubSystem: '/rising_edge_init' */ - /* End of SystemInitialize for SubSystem: '/Open_Mode' */ + /* End of SystemInitialize for SubSystem: '/rising_edge_init' */ + /* End of SystemInitialize for SubSystem: '/Open_Mode' */ + /* End of SystemInitialize for SubSystem: '/F03_Control_Mode_Manager' */ + + /* SystemInitialize for IfAction SubSystem: '/F05_Field_Oriented_Control' */ + /* SystemInitialize for IfAction SubSystem: '/Motor_Limitations' */ + + /* SystemInitialize for IfAction SubSystem: '/Voltage_Mode_Protection' */ + + /* SystemInitialize for Atomic SubSystem: '/I_backCalc_fixdt' */ + I_backCalc_fixdt_Init(&rtDW->I_backCalc_fixdt_i, 0); + + /* End of SystemInitialize for SubSystem: '/I_backCalc_fixdt' */ + + /* SystemInitialize for Atomic SubSystem: '/I_backCalc_fixdt1' */ + I_backCalc_fixdt_Init(&rtDW->I_backCalc_fixdt1, 0); + + /* End of SystemInitialize for SubSystem: '/I_backCalc_fixdt1' */ + + /* End of SystemInitialize for SubSystem: '/Voltage_Mode_Protection' */ + + /* SystemInitialize for IfAction SubSystem: '/Torque_Mode_Protection' */ + + /* SystemInitialize for Atomic SubSystem: '/I_backCalc_fixdt' */ + I_backCalc_fixdt_Init(&rtDW->I_backCalc_fixdt_g, 0); + + /* End of SystemInitialize for SubSystem: '/I_backCalc_fixdt' */ + + /* End of SystemInitialize for SubSystem: '/Torque_Mode_Protection' */ + + /* SystemInitialize for Outport: '/Vd_max' */ + rtDW->Vd_max1 = 14400; + + /* SystemInitialize for Outport: '/Vd_min' */ + rtDW->Gain3 = -14400; + + /* SystemInitialize for Outport: '/Vq_max' */ + rtDW->Vq_max_M1 = 14400; + + /* SystemInitialize for Outport: '/Vq_min' */ + rtDW->Gain5 = -14400; + + /* SystemInitialize for Outport: '/id_max' */ + rtDW->i_max = 12000; + + /* SystemInitialize for Outport: '/id_min' */ + rtDW->Gain4_c = -12000; + + /* SystemInitialize for Outport: '/iq_max' */ + rtDW->Divide1_a = 12000; + + /* SystemInitialize for Outport: '/iq_min' */ + rtDW->Gain1 = -12000; + + /* End of SystemInitialize for SubSystem: '/Motor_Limitations' */ + /* End of SystemInitialize for SubSystem: '/F05_Field_Oriented_Control' */ /* End of SystemInitialize for SubSystem: '/BLDC_controller' */ } diff --git a/Src/BLDC_controller_data.c b/Src/BLDC_controller_data.c index 16b45f7..5a11f15 100644 --- a/Src/BLDC_controller_data.c +++ b/Src/BLDC_controller_data.c @@ -3,9 +3,9 @@ * * Code generated for Simulink model 'BLDC_controller'. * - * Model version : 1.1212 + * Model version : 1.1249 * Simulink Coder version : 8.13 (R2017b) 24-Jul-2017 - * C/C++ source code generated on : Sat Nov 30 08:54:28 2019 + * C/C++ source code generated on : Thu Dec 12 20:22:31 2019 * * Target selection: ert.tlc * Embedded hardware selection: ARM Compatible->ARM Cortex @@ -22,7 +22,7 @@ /* Constant parameters (auto storage) */ const ConstP rtConstP = { /* Computed Parameter: r_sin3PhaA_M1_Table - * Referenced by: '/r_sin3PhaA_M1' + * Referenced by: '/r_sin3PhaA_M1' */ { -13091, -13634, -14126, -14565, -14953, -15289, -15577, -15816, -16009, -16159, -16269, -16340, -16377, -16383, -16362, -16317, -16253, -16172, @@ -44,7 +44,7 @@ const ConstP rtConstP = { -9623, -10411, -11154, -11849, -12496, -13091 }, /* Computed Parameter: r_sin3PhaB_M1_Table - * Referenced by: '/r_sin3PhaB_M1' + * Referenced by: '/r_sin3PhaB_M1' */ { 15172, 15180, 15206, 15248, 15306, 15377, 15461, 15555, 15656, 15762, 15870, 15977, 16079, 16172, 16253, 16317, 16362, 16383, 16377, 16340, 16269, 16159, @@ -66,7 +66,7 @@ const ConstP rtConstP = { 15377, 15306, 15248, 15206, 15180, 15172 }, /* Computed Parameter: r_sin3PhaC_M1_Table - * Referenced by: '/r_sin3PhaC_M1' + * Referenced by: '/r_sin3PhaC_M1' */ { -13091, -12496, -11849, -11154, -10411, -9623, -8791, -7921, -7014, -6075, -5107, -4115, -3104, -2077, -1041, 0, 1041, 2077, 3104, 4115, 5107, 6075, @@ -88,7 +88,7 @@ const ConstP rtConstP = { -15289, -14953, -14565, -14126, -13634, -13091 }, /* Computed Parameter: r_sin_M1_Table - * Referenced by: '/r_sin_M1' + * Referenced by: '/r_sin_M1' */ { 8192, 8682, 9162, 9630, 10087, 10531, 10963, 11381, 11786, 12176, 12551, 12911, 13255, 13583, 13894, 14189, 14466, 14726, 14968, 15191, 15396, 15582, @@ -109,7 +109,7 @@ const ConstP rtConstP = { 2280, 2845, 3406, 3964, 4516, 5063, 5604, 6138, 6664, 7182, 7692, 8192 }, /* Computed Parameter: r_cos_M1_Table - * Referenced by: '/r_cos_M1' + * Referenced by: '/r_cos_M1' */ { 14189, 13894, 13583, 13255, 12911, 12551, 12176, 11786, 11381, 10963, 10531, 10087, 9630, 9162, 8682, 8192, 7692, 7182, 6664, 6138, 5604, 5063, 4516, @@ -130,7 +130,7 @@ const ConstP rtConstP = { 16026, 15897, 15749, 15582, 15396, 15191, 14968, 14726, 14466, 14189 }, /* Computed Parameter: iq_maxSca_M1_Table - * Referenced by: '/iq_maxSca_M1' + * Referenced by: '/iq_maxSca_M1' */ { 65535U, 65523U, 65484U, 65418U, 65326U, 65207U, 65062U, 64890U, 64691U, 64465U, 64211U, 63930U, 63620U, 63281U, 62913U, 62516U, 62088U, 61630U, @@ -140,66 +140,66 @@ const ConstP rtConstP = { 28540U, 25655U, 22323U, 18304U, 12974U }, /* Computed Parameter: z_commutMap_M1_table - * Referenced by: '/z_commutMap_M1' + * Referenced by: '/z_commutMap_M1' */ { -1, 1, 0, -1, 0, 1, 0, -1, 1, 1, -1, 0, 1, 0, -1, 0, 1, -1 }, /* Computed Parameter: vec_hallToPos_Value - * Referenced by: '/vec_hallToPos' + * Referenced by: '/vec_hallToPos' */ { 0, 2, 0, 1, 4, 3, 5, 0 } }; P rtP_Left = { /* Variable: dV_openRate - * Referenced by: '/dV_openRate' + * Referenced by: '/dV_openRate' */ - 4096, + 12288, /* Variable: dz_cntTrnsDetHi - * Referenced by: '/dz_cntTrnsDet' + * Referenced by: '/dz_cntTrnsDet' */ 40, /* Variable: dz_cntTrnsDetLo - * Referenced by: '/dz_cntTrnsDet' + * Referenced by: '/dz_cntTrnsDet' */ 20, /* Variable: z_maxCntRst * Referenced by: - * '/Counter' - * '/z_maxCntRst' - * '/z_maxCntRst2' - * '/UnitDelay3' - * '/z_counter' + * '/Counter' + * '/z_maxCntRst' + * '/z_maxCntRst2' + * '/UnitDelay3' + * '/z_counter' */ 2000, /* Variable: cf_speedCoef - * Referenced by: '/cf_speedCoef' + * Referenced by: '/cf_speedCoef' */ 10667U, /* Variable: t_errDequal * Referenced by: '/t_errDequal' */ - 32000U, + 10667U, /* Variable: t_errQual * Referenced by: '/t_errQual' */ - 9600U, + 3200U, /* Variable: Vd_max * Referenced by: - * '/Vd_max1' - * '/Vd_max' + * '/Vd_max1' + * '/Vd_max' */ 14400, /* Variable: Vq_max_M1 - * Referenced by: '/Vq_max_M1' + * Referenced by: '/Vq_max_M1' */ { 14400, 14396, 14386, 14368, 14343, 14311, 14271, 14225, 14171, 14109, 14040, 13963, 13879, 13786, 13685, 13576, 13459, 13333, 13198, 13053, 12900, 12736, @@ -207,58 +207,59 @@ P rtP_Left = { 9790, 9433, 9051, 8640, 8196, 7713, 7184, 6597, 5935, 5170, 4245, 3019, 0 }, /* Variable: Vq_max_XA - * Referenced by: '/Vq_max_XA' + * Referenced by: '/Vq_max_XA' */ { 0, 320, 640, 960, 1280, 1600, 1920, 2240, 2560, 2880, 3200, 3520, 3840, 4160, 4480, 4800, 5120, 5440, 5760, 6080, 6400, 6720, 7040, 7360, 7680, 8000, 8320, 8640, 8960, 9280, 9600, 9920, 10240, 10560, 10880, 11200, 11520, 11840, 12160, 12480, 12800, 13120, 13440, 13760, 14080, 14400 }, + /* Variable: a_phaAdvMax + * Referenced by: '/a_phaAdvMax' + */ + 400, + /* Variable: i_max * Referenced by: - * '/i_max' - * '/i_max' + * '/i_max' + * '/i_max' */ 12000, - /* Variable: id_fieldWeak_M1 - * Referenced by: '/id_fieldWeak_M1' + /* Variable: id_fieldWeakMax + * Referenced by: '/id_fieldWeakMax' */ - { 0, 80, 240, 560, 1040, 1680, 2400, 3040, 3520, 3840, 4000, 4000 }, + 4000, /* Variable: n_commAcvLo - * Referenced by: '/n_commDeacv' + * Referenced by: '/n_commDeacv' */ 240, /* Variable: n_commDeacvHi - * Referenced by: '/n_commDeacv' + * Referenced by: '/n_commDeacv' */ 480, /* Variable: n_fieldWeakAuthHi - * Referenced by: - * '/n_fieldWeakAuth' - * '/n_fieldWeakAuth' + * Referenced by: '/n_fieldWeakAuthHi' */ - 3200, + 6400, /* Variable: n_fieldWeakAuthLo - * Referenced by: - * '/n_fieldWeakAuth' - * '/n_fieldWeakAuth' + * Referenced by: '/n_fieldWeakAuthLo' */ - 2240, + 4800, /* Variable: n_max * Referenced by: - * '/n_max1' - * '/n_max' + * '/n_max1' + * '/n_max' */ - 12800, + 16000, /* Variable: n_stdStillDet - * Referenced by: '/n_stdStillDet' + * Referenced by: '/n_stdStillDet' */ 48, @@ -267,71 +268,71 @@ P rtP_Left = { */ 6400, - /* Variable: r_fieldWeak_XA - * Referenced by: '/r_fieldWeak_XA' + /* Variable: r_fieldWeakHi + * Referenced by: '/r_fieldWeakHi' */ - { 9120, 9600, 10080, 10560, 11040, 11520, 12000, 12480, 12960, 13440, 13920, - 14400 }, + 24000, - /* Variable: r_phaAdv_XA - * Referenced by: '/r_phaAdv_XA' + /* Variable: r_fieldWeakLo + * Referenced by: '/r_fieldWeakLo' */ - { 0, 1600, 3200, 4800, 6400, 8000, 9600, 11200, 12800, 14400, 16000 }, + 16000, + + /* Variable: cf_KbLimProt + * Referenced by: + * '/cf_KbLimProt' + * '/cf_KbLimProt' + */ + 768U, /* Variable: cf_idKp - * Referenced by: '/cf_idKp1' + * Referenced by: '/cf_idKp1' */ 819U, /* Variable: cf_iqKp - * Referenced by: '/cf_iqKp' + * Referenced by: '/cf_iqKp' */ - 2048U, + 1229U, /* Variable: cf_nKp - * Referenced by: '/cf_nKp' + * Referenced by: '/cf_nKp' */ 4833U, /* Variable: cf_currFilt - * Referenced by: '/cf_currFilt' + * Referenced by: '/cf_currFilt' */ 7864U, /* Variable: cf_idKi - * Referenced by: '/cf_idKi1' + * Referenced by: '/cf_idKi1' */ - 246U, + 737U, /* Variable: cf_iqKi - * Referenced by: '/cf_iqKi' + * Referenced by: '/cf_iqKi' */ - 410U, + 1229U, /* Variable: cf_iqKiLimProt - * Referenced by: '/cf_iqKiLimProt' + * Referenced by: + * '/cf_iqKiLimProt' + * '/cf_iqKiLimProt' */ - 167U, + 737U, /* Variable: cf_nKi - * Referenced by: '/cf_nKi' + * Referenced by: '/cf_nKi' */ - 84U, + 251U, - /* Variable: cf_iqKpLimProt - * Referenced by: '/cf_iqKpLimProt' + /* Variable: cf_nKiLimProt + * Referenced by: + * '/cf_nKiLimProt' + * '/cf_nKiLimProt' */ - 1843U, - - /* Variable: cf_nKpLimProt - * Referenced by: '/cf_nKpLimProt' - */ - 1280U, - - /* Variable: a_phaAdv_M1 - * Referenced by: '/a_phaAdv_M1' - */ - { 0, 0, 0, 0, 0, 512, 768, 1280, 2304, 4096, 6400 }, + 246U, /* Variable: z_ctrlTypSel * Referenced by: '/z_ctrlTypSel1' @@ -345,13 +346,13 @@ P rtP_Left = { /* Variable: b_fieldWeakEna * Referenced by: - * '/b_fieldWeakEna' - * '/b_fieldWeakEna' + * '/b_fieldWeakEna' + * '/b_fieldWeakEna' */ 0, /* Variable: b_selPhaABCurrMeas - * Referenced by: '/b_selPhaABCurrMeas' + * Referenced by: '/b_selPhaABCurrMeas' */ 1 }; /* Modifiable parameters */ diff --git a/Src/bldc.c b/Src/bldc.c index a375260..a2ec2ce 100644 --- a/Src/bldc.c +++ b/Src/bldc.c @@ -47,6 +47,7 @@ extern ExtY rtY_Right; /* External outputs */ static int16_t pwm_margin = 100; /* This margin allows to always have a window in the PWM signal for proper Phase currents measurement */ extern uint8_t ctrlModReq; +static int16_t curDC_max = (I_DC_MAX * A2BIT_CONV); int16_t curL_phaA = 0, curL_phaB = 0, curL_DC = 0; int16_t curR_phaB = 0, curR_phaC = 0, curR_DC = 0; uint8_t errCode_Left = 0; @@ -116,13 +117,13 @@ void DMA1_Channel1_IRQHandler(void) { // Disable PWM when current limit is reached (current chopping) // This is the Level 2 of current protection. The Level 1 should kick in first given by I_MOT_MAX - if(ABS(curL_DC) > I_DC_MAX || timeout > TIMEOUT || enable == 0) { + if(ABS(curL_DC) > curDC_max || timeout > TIMEOUT || enable == 0) { LEFT_TIM->BDTR &= ~TIM_BDTR_MOE; } else { LEFT_TIM->BDTR |= TIM_BDTR_MOE; } - if(ABS(curR_DC) > I_DC_MAX || timeout > TIMEOUT || enable == 0) { + if(ABS(curR_DC) > curDC_max || timeout > TIMEOUT || enable == 0) { RIGHT_TIM->BDTR &= ~TIM_BDTR_MOE; } else { RIGHT_TIM->BDTR |= TIM_BDTR_MOE; diff --git a/Src/main.c b/Src/main.c index 368b7d5..4b6de47 100644 --- a/Src/main.c +++ b/Src/main.c @@ -35,18 +35,18 @@ RT_MODEL rtM_Left_; /* Real-time model */ RT_MODEL rtM_Right_; /* Real-time model */ -RT_MODEL *const rtM_Left = &rtM_Left_; -RT_MODEL *const rtM_Right = &rtM_Right_; +RT_MODEL *const rtM_Left = &rtM_Left_; +RT_MODEL *const rtM_Right = &rtM_Right_; -P rtP_Left; /* Block parameters (auto storage) */ -DW rtDW_Left; /* Observable states */ -ExtU rtU_Left; /* External inputs */ -ExtY rtY_Left; /* External outputs */ +P rtP_Left; /* Block parameters (auto storage) */ +DW rtDW_Left; /* Observable states */ +ExtU rtU_Left; /* External inputs */ +ExtY rtY_Left; /* External outputs */ -P rtP_Right; /* Block parameters (auto storage) */ -DW rtDW_Right; /* Observable states */ -ExtU rtU_Right; /* External inputs */ -ExtY rtY_Right; /* External outputs */ +P rtP_Right; /* Block parameters (auto storage) */ +DW rtDW_Right; /* Observable states */ +ExtU rtU_Right; /* External inputs */ +ExtY rtY_Right; /* External outputs */ extern uint8_t errCode_Left; /* Global variable to handle Motor error codes */ extern uint8_t errCode_Right; /* Global variable to handle Motor error codes */ @@ -99,27 +99,27 @@ static uint8_t serialSendCounter; // serial send counter static uint8_t button1, button2; #endif -uint8_t ctrlModReqRaw = CTRL_MOD_REQ; -uint8_t ctrlModReq; // Final control mode request -static int cmd1; // normalized input value. -1000 to 1000 -static int cmd2; // normalized input value. -1000 to 1000 -static int16_t steer; // local variable for steering. -1000 to 1000 -static int16_t speed; // local variable for speed. -1000 to 1000 -static int16_t steerFixdt; // local fixed-point variable for steering low-pass filter -static int16_t speedFixdt; // local fixed-point variable for speed low-pass filter -static int16_t steerRateFixdt; // local fixed-point variable for steering rate limiter -static int16_t speedRateFixdt; // local fixed-point variable for speed rate limiter +uint8_t ctrlModReqRaw = CTRL_MOD_REQ; +uint8_t ctrlModReq = CTRL_MOD_REQ; // Final control mode request +static int cmd1; // normalized input value. -1000 to 1000 +static int cmd2; // normalized input value. -1000 to 1000 +static int16_t steer; // local variable for steering. -1000 to 1000 +static int16_t speed; // local variable for speed. -1000 to 1000 +static int16_t steerFixdt; // local fixed-point variable for steering low-pass filter +static int16_t speedFixdt; // local fixed-point variable for speed low-pass filter +static int16_t steerRateFixdt; // local fixed-point variable for steering rate limiter +static int16_t speedRateFixdt; // local fixed-point variable for speed rate limiter -extern volatile int pwml; // global variable for pwm left. -1000 to 1000 -extern volatile int pwmr; // global variable for pwm right. -1000 to 1000 +extern volatile int pwml; // global variable for pwm left. -1000 to 1000 +extern volatile int pwmr; // global variable for pwm right. -1000 to 1000 -extern uint8_t buzzerFreq; // global variable for the buzzer pitch. can be 1, 2, 3, 4, 5, 6, 7... -extern uint8_t buzzerPattern; // global variable for the buzzer pattern. can be 1, 2, 3, 4, 5, 6, 7... +extern uint8_t buzzerFreq; // global variable for the buzzer pitch. can be 1, 2, 3, 4, 5, 6, 7... +extern uint8_t buzzerPattern; // global variable for the buzzer pattern. can be 1, 2, 3, 4, 5, 6, 7... -extern uint8_t enable; // global variable for motor enable +extern uint8_t enable; // global variable for motor enable -extern volatile uint32_t timeout; // global variable for timeout -extern int16_t batVoltage; // global variable for battery voltage +extern volatile uint32_t timeout; // global variable for timeout +extern int16_t batVoltage; // global variable for battery voltage static uint32_t inactivity_timeout_counter; @@ -187,16 +187,24 @@ int main(void) { rtP_Left.b_selPhaABCurrMeas = 1; // Left motor measured current phases = {iA, iB} -> do NOT change rtP_Left.z_ctrlTypSel = CTRL_TYP_SEL; rtP_Left.b_diagEna = DIAG_ENA; + rtP_Left.i_max = (I_MOT_MAX * A2BIT_CONV) << 4; // fixdt(1,16,4) + rtP_Left.n_max = N_MOT_MAX << 4; // fixdt(1,16,4) rtP_Left.b_fieldWeakEna = FIELD_WEAK_ENA; - rtP_Left.i_max = I_MOT_MAX; - rtP_Left.n_max = N_MOT_MAX; + rtP_Left.id_fieldWeakMax = (FIELD_WEAK_MAX * A2BIT_CONV) << 4; // fixdt(1,16,4) + rtP_Left.a_phaAdvMax = PHASE_ADV_MAX << 4; // fixdt(1,16,4) + rtP_Left.r_fieldWeakHi = FIELD_WEAK_HI << 4; // fixdt(1,16,4) + rtP_Left.r_fieldWeakLo = FIELD_WEAK_LO << 4; // fixdt(1,16,4) rtP_Right.b_selPhaABCurrMeas = 0; // Left motor measured current phases = {iB, iC} -> do NOT change rtP_Right.z_ctrlTypSel = CTRL_TYP_SEL; rtP_Right.b_diagEna = DIAG_ENA; + rtP_Right.i_max = (I_MOT_MAX * A2BIT_CONV) << 4; // fixdt(1,16,4) + rtP_Right.n_max = N_MOT_MAX << 4; // fixdt(1,16,4) rtP_Right.b_fieldWeakEna = FIELD_WEAK_ENA; - rtP_Right.i_max = I_MOT_MAX; - rtP_Right.n_max = N_MOT_MAX; + rtP_Right.id_fieldWeakMax = (FIELD_WEAK_MAX * A2BIT_CONV) << 4; // fixdt(1,16,4) + rtP_Right.a_phaAdvMax = PHASE_ADV_MAX << 4; // fixdt(1,16,4) + rtP_Right.r_fieldWeakHi = FIELD_WEAK_HI << 4; // fixdt(1,16,4) + rtP_Right.r_fieldWeakLo = FIELD_WEAK_LO << 4; // fixdt(1,16,4) /* Pack LEFT motor data into RTM */ rtM_Left->defaultParam = &rtP_Left; @@ -282,34 +290,34 @@ int main(void) { #ifdef CONTROL_NUNCHUCK Nunchuck_Read(); - cmd1 = CLAMP((nunchuck_data[0] - 127) * 8, -1000, 1000); // x - axis. Nunchuck joystick readings range 30 - 230 - cmd2 = CLAMP((nunchuck_data[1] - 128) * 8, -1000, 1000); // y - axis + cmd1 = CLAMP((nunchuck_data[0] - 127) * 8, INPUT_MIN, INPUT_MAX); // x - axis. Nunchuck joystick readings range 30 - 230 + cmd2 = CLAMP((nunchuck_data[1] - 128) * 8, INPUT_MIN, INPUT_MAX); // y - axis button1 = (uint8_t)nunchuck_data[5] & 1; button2 = (uint8_t)(nunchuck_data[5] >> 1) & 1; #endif #ifdef CONTROL_PPM - cmd1 = CLAMP((ppm_captured_value[0] - 500) * 2, -1000, 1000); - cmd2 = CLAMP((ppm_captured_value[1] - 500) * 2, -1000, 1000); - button1 = ppm_captured_value[5] > 500; + cmd1 = CLAMP((ppm_captured_value[0] - INPUT_MID) * 2, INPUT_MIN, INPUT_MAX); + cmd2 = CLAMP((ppm_captured_value[1] - INPUT_MID) * 2, INPUT_MIN, INPUT_MAX); + button1 = ppm_captured_value[5] > INPUT_MID; float scale = ppm_captured_value[2] / 1000.0f; #endif #ifdef CONTROL_ADC // ADC values range: 0-4095, see ADC-calibration in config.h #ifdef ADC1_MID_POT - cmd1 = CLAMP((adc_buffer.l_tx2 - ADC1_MID) * 1000 / (ADC1_MAX - ADC1_MID), 0, 1000) - -CLAMP((ADC1_MID - adc_buffer.l_tx2) * 1000 / (ADC1_MID - ADC1_MIN), 0, 1000); // ADC1 + cmd1 = CLAMP((adc_buffer.l_tx2 - ADC1_MID) * INPUT_MAX / (ADC1_MAX - ADC1_MID), 0, INPUT_MAX) + -CLAMP((ADC1_MID - adc_buffer.l_tx2) * INPUT_MAX / (ADC1_MID - ADC1_MIN), 0, INPUT_MAX); // ADC1 #else - cmd1 = CLAMP((adc_buffer.l_tx2 - ADC1_MIN) * 1000 / (ADC1_MAX - ADC1_MIN), 0, 1000); // ADC1 + cmd1 = CLAMP((adc_buffer.l_tx2 - ADC1_MIN) * INPUT_MAX / (ADC1_MAX - ADC1_MIN), 0, INPUT_MAX); // ADC1 #endif #ifdef ADC2_MID_POT - cmd2 = CLAMP((adc_buffer.l_rx2 - ADC2_MID) * 1000 / (ADC2_MAX - ADC2_MID), 0, 1000) - -CLAMP((ADC2_MID - adc_buffer.l_rx2) * 1000 / (ADC2_MID - ADC2_MIN), 0, 1000); // ADC2 + cmd2 = CLAMP((adc_buffer.l_rx2 - ADC2_MID) * INPUT_MAX / (ADC2_MAX - ADC2_MID), 0, INPUT_MAX) + -CLAMP((ADC2_MID - adc_buffer.l_rx2) * INPUT_MAX / (ADC2_MID - ADC2_MIN), 0, INPUT_MAX); // ADC2 #else - cmd2 = CLAMP((adc_buffer.l_rx2 - ADC2_MIN) * 1000 / (ADC2_MAX - ADC2_MIN), 0, 1000); // ADC2 + cmd2 = CLAMP((adc_buffer.l_rx2 - ADC2_MIN) * INPUT_MAX / (ADC2_MAX - ADC2_MIN), 0, INPUT_MAX); // ADC2 #endif // use ADCs as button inputs: @@ -327,8 +335,8 @@ int main(void) { if (timeoutCnt-- <= 0) // Timeout de-qualification timeoutFlag = 0; // Timeout flag cleared } else { - cmd1 = CLAMP((int16_t)command.steer, -1000, 1000); - cmd2 = CLAMP((int16_t)command.speed, -1000, 1000); + cmd1 = CLAMP((int16_t)command.steer, INPUT_MIN, INPUT_MAX); + cmd2 = CLAMP((int16_t)command.speed, INPUT_MIN, INPUT_MAX); command.start = 0xFFFF; // Change the Start Frame for timeout detection in the next cycle timeoutCnt = 0; // Reset the timeout counter } @@ -620,12 +628,12 @@ void mixerFcn(int16_t rtu_speed, int16_t rtu_steer, int16_t *rty_speedR, int16_t tmp = prodSpeed - prodSteer; tmp = CLAMP(tmp, -32768, 32767); // Overflow protection *rty_speedR = (int16_t)(tmp >> 4); // Convert from fixed-point to int - *rty_speedR = CLAMP(*rty_speedR, -1000, 1000); + *rty_speedR = CLAMP(*rty_speedR, INPUT_MIN, INPUT_MAX); tmp = prodSpeed + prodSteer; tmp = CLAMP(tmp, -32768, 32767); // Overflow protection *rty_speedL = (int16_t)(tmp >> 4); // Convert from fixed-point to int - *rty_speedL = CLAMP(*rty_speedL, -1000, 1000); + *rty_speedL = CLAMP(*rty_speedL, INPUT_MIN, INPUT_MAX); } // =========================================================== diff --git a/build/firmware.bin b/build/firmware.bin index 58f8369d138118a5f80580d8b478c98b17a0db78..ce6025997a90e901d5d0869dfd19ff87aae2ca19 100644 GIT binary patch delta 5728 zcma)A3sh9)+Wx-T1I!@GaLLPnx?wyWV7zR=(vv0Q9@v7A;H5ID6NnXdb3{*}`8S)( zuYi{5MiJ(emQ;4ZbkESi$_BG_x@kebwM;Rwi<8l*Jq$A6W`OzMZ_Km)_5W-At6A%v z@BQxYe&6SPzHjfdFJjjV*p9joJ9QD#J!nF@he6hXJPPtS2>M^Y_0YEA_x6oY{te_o zI`JHFqXjnQ-N^<75@bj~)er7a{YTZ*s-A$yPYf|g_0>)w)n>F2G znHD9w3Y%PNC8{NLoQrJXs^rg%4mo9Ditcn5^R=Yj*6F4#9jcaVBX^^+9OSzu zVpA=7o~~!_Y9h}c9L%XD`wqst3l*#fXX;(*Gonis`;g)hn}~x$W{cv7mTE34!1&t8 z7Su+bgypu1ZRDB0HX?!vjz+E5!gQ!Q1NBwy7-*cnv4-y&=!Kb2!Wv3fb~^u8DxCbu2O?6k<54*<+I4wc8D4&Q9MhbX+ zE364J)4zQlHZAt;ium)-o~N?pkNP7fMMw)`!lk!WjqQXwnfu2zw0<0H>=Gw|X7M@2 zESx1bk!G$#J>M8*m-Z4rY_*^}%7M)DyR{C-=HdwCZ3CNYVMs3+^d2}eL2l*6foAcW zoU?9Z!lnzduGN7e(x}gnu29kFP@2w-Gp*TiN>HcuYM9sSuhv5#StRKIGg|LnCg}fQ ztcmR9k}FL%iBEKE9W}%r4$s=mHmMB;dAQnFtS{zUAZiU9(;ag>2vOR}p){m?FJ@LE zb1|S`FBp4I&xT5rx)N&7vAcOq_jQ;xkv%L*E9$WfU{f2}!_`9Xy?sH`Z&y0haIP#T zIHZ3InY5lq>)!!%XuA`z6j1EG1bL#zMYbMvpk>*MvK{h<>;$Zrse`>9WYU3zNe&^! zbCJX!{gbCd?S{Aohf-mw86KP#-jl^-$;f2!;CXgAGxUGWvv?@@)KX7aVFAp3#Dh)i zK;H5ocN0y0F&Jzj5{uI;ioVKD;~fx@Nt0Yln!sj>1-rSP{C6Rf7YNR4TOK&M1H1(Y+i5_VG$})#HCya}@T6n3+R7o*p|uDSs@Bj%T9KX!wWU~;v*JsSec(k= zi3(b8E{}H?D3t{>LCSI>FBJ1}&>HWyDwS3fNLfy&yG)47HsXSRx1kgrkWxb2i)-7+ zDggR^?rl}aj+ca?ER#JJxAMq$SlpN23aRp{7%S7}x7A349=AZxL9sEv1$qvMdU-D) z9C)D*nRC0x16*^u--k%@-Dpmy+s=2W-*$Dnv*h=3I@G?#u7NtS5R54lI@Gi1eXk}u z%H5%UE_SG&imPD6*9SLW_^+H|yU^)gAtcDthwIhp!wti)_Uj`K^+!TX-|W}x6Xb*a zk&!_r8hS6lf5UKdK=n(3VV_%e$PZXQ@_jK+7l`l&tLtmLRU7Uj+AJNoQR5Or+Uc5BS`!Pky3ChXCef>#ir;W z`{2ltjg_mXY0|QW{71gYvHJ&);AF;3al-V3FvI?IMPnZBW*~%3-1aMxLYfEM%occr zAxrYT9)8I^R7)!LWaT~Jd043QFhU)`vW;xxT*EHqasMxw=DZyM)Nz6}=djxfehhCx zsg~}OzK^FI_d25&o_hd1$5l3|&vqRh7QK1;Jbou|?X!l6Zc5P>#lEb%jV$j1r*xqd zq-?7$`0f(9uWN;|EQfAS!34%kv*y?Yy1^ra3V|>P+Hf(HSsh(WK9VG zNS9i|Wy$XXRsRc)rV8$heEqdsDqQL&F;k6Ynf^SzCZO{jR~KqsYK6_}+qEhk1tNTx zNaFWU$^6W?k-B|rr+cG-0y<@j)#BU5@xEOdhU_wMaG79(C$tGAIo5&4D-OF$gd&QH z3P45j6xoo?^!HTozNMNE`VUH@hDmcuU`~k;JzPD!EGM#22O%sHmca_kgcE8?BLvo% z2emvQ7fu!Z;IPHFYOl%Amc`;*iLQE~( zNGdkh!Zk#;Z*C*iM{CJ$_5_&$i`ClNNF@t_2VQPwpC=rY`=Oi*WeZy)hB*>qn5uCO zM~oFBw46(bsey7Ol&7lVj-L2Q*`@VH0Tj-m4)PtqVbDMUp!Gf$0ssZV!Txcf_}5d3T@^SIPC+yTJhbOAzWi}Hjyv|cWvgZyOZ1|*dL)eo>tv({S?p=o~jF$y2t40CL# znL;RU*muRHJ_b;E2tB|zLRM33mZL^k(E!+74rg)71X!o5gIqC)#t!l;3)t*~^(KI6 z_CA{K0M0&GvX3tQe$Jb2z-J$7z8;#>z%Lc-$t&2L-9s6<#_1xfLwA?LLD!Y zU#;0A8^9Qkiux_6s~^R^)NciZB6GsUQ@)SmoaQO#F34;yr^Xpjzf}Xm$m%0WPW6tn z8Jn$JtWR4P`F5pKW9Nz$k$2g;4>Ga*2pl6z50!5wcMH zKhx>92urN=u6M(NxNI9>*#&S13O<(dxivmyF$J6YHlwEKHi zddMMj*5Il34%GnHs3#0<GdGQrpNw zkUiyW*5HbECN*pDxg$8$K3LoipwIz!;CU0_9{--(!Xx=QpcTWL;5Ut=_1YLLA$fsa z0JMlf|5K{g8_)bt@#Z!UbkmoGQoVhe!i^i0ovK!8HLV z9fJHjMD7N$uqgSw*eV*=w3Zr6!MW`wNNjJK;xHsHk51#Pm0OKN~W}TVj*slew?^S^ZA&RGKsyp z3C6U6%z*icNMo7bp(_Xz%F2t6o61e_I%O&u^WQ%zJuzu^l6P1)lk}iuHYps|Iy^Q8 zmr!cvgD{nvzd<@N>Cbt4$D&PVuHw0cgJM~dfDfO!s=bBTt5FIGv{=Ir>!k1|jWSKu zC_~V)W#iF+Pr=H>Q7wy=)X_8{RiKw1thl9Fli#p^x^(+dtjrvCu47m$nBy#UuKQ;J z16r6A=D^D05tO`M8HZv7tgHy>ks`l3)f6VexibR5!e0iEtk*O@aC z^#3Keab5eJCOd4ju@ouQ!$@g>b7AWUQVgT;mYeh{xZu<%{XaeY3jBXEoGOeYvjS2Y zM+*n5Z1AuZ^s4Tr@XLgN{&B_2aM^yDd<)VCZ-3`N2#oxeYszhd z7AgN_a@uA;Rk8<^&kfd+-B5nYhLzNmG~U^m-v*uiCA;z)3m+|^wal%a8!OJgL>gZ-3Rt=;zi?35 z;8YO``Y((v7v2SpwgmlW$FSoPu^pp*tA#~FEiou2=-)PWXZ}0TV*I@YOF{qE(8$~u z=}7JCOw8-B3#?-p@10EAKm-1H(k@pkLyjp zPUECaVL zXY;{cPU4sJz5^S_W$ck}PFwqpwGSCImZETAChc_s$p)r!=@HutL~$RG0VNPh3a6n= z1fc{%35SvgWi?7N6L&5|I#{E^4joG&y{AECG6-cF$|~`o_i1^avKdYND5z5wGeVgN zG7;p^z`PX>Im0|J|Ne7f$r-lrWFve;m;gr^{g{$r&d3k%1#iM@cBgwepOJR=MU-qT zF_zDQmpA*+$s2-{CvrIs6-^Z0ESBN9gZ zdNS(2D_~npKU0FuEdA6O66Y~~x-Ogo@wCqQ^^yi{{7f(taR}bl;!$_R8>w%kic!+0 z!guC0;=b7jqZQPL(Uh)OaUqPE(sjSM5HXp|8SUlR&S{r5P-+5b2x%~qjulNZVCtcl KmS|~d0Qqk&hI*d> delta 6115 zcma(#4OkObw)akcNB|-HENXCdlAs8o8o*MkeHtb( z$4N0M#YiDS#%#-+#0Ak(Zbu(-8)k{jG(Nv^6kc2uqjDXfh-1W6M3NTOfKhE{yMsQi zmQws>kVV1xxCZt!3>2T%@;6V}LYr=o)md(PObfDv1VfgfLdoh)CNw#wLagQSo*0jhYDPIY%dDp zJ18UCokXK0k5&Cw{E|GfmKArws3e=zxseG&&JxRrEW~mw^<%X+G)>2 zx42ySTXX63Jqzi0wUj5edP?v3q9mTq! z2kC{O&IOOsBFAvJo|63O)Lyg#I8s(vviEdW4}8nnL44`ZE!@`1%g9zd%Z?#Ij-oF`*GXO&FC0#PzrHqTwlVF_9atrZXl|19a?%_@|Bf55>%M=Ia`u7@&V zlIHb-7Rr!kzbzq}gaa=Y4LSH98XUsLLBG13#d=~$mTlzTvSD4XK%+W-qoJ2CFxc78 z)lPO1i0z{*hnJ%HdyRM zV6GgFa`p6^Q!ZlY(-u&RK*~*nw3VS8BS_ce!Rhkh97zs~bnkg^&TH(k+25U)U`N?x#JVmi9<&#srAeF5XitJMqkpYEhi&CC8UG%hq==ts ztXYC0V*@@C^!kplPnl?cY|bjtO0^E*X;mHnGFucGv$JD< zTk`Cf55^7#TNcg(qYY;|gfg;@FH<#ADMRYB4q+1+*+0HtTOWqk*$l6KP?mi4(U)X7Oq%<-<4^tusipb>L~jnah!wIP}J z3*s$)wdzePzY2u|zYN)FmvGTAhdIjsU{<8VmN)H5$fY5y)@(9ubR$wlQN(oBAbo1sA;enqQj)Qs)C|I_%MbmVKYeaW6He3M^A z!l@(T&cijCLj0Ll9;vKngf-Ic8M`mCX@e3JhBi=5l&C1_5Q4J}*hlx1^(YN-i}y{9 zq2)&IwjIpJuz4YozIJ-xw6wJ;-x}yYjZ-E1I{tZ!bePaCqU-n<$kP*qUNQ`rg-Gp~ zkbu5kFtksUPW|Mma}&qcxhF(Zm0n4*ip@3f-`SxH6D{k`O+?avf!<6(gy%ZHWuMdo zcyTP?>)B{O^Bk6>^ zPrZmZIT@v}fu?Nq0npR|+T{OZwpBE(DvzSo;_21C0zRo6e@GWG4mMD&9Y>nf@e2Bh zafpR6FTj(CsfGyo%;+n_y}}yRb-s5jGp`y1R*T29Cl`d~(n_`>Uvjk&sD<8e=e{6J2P;qaa|Io63NSGIj zA4Oo^&yc_eutt@Y7nw)+V~Kc{q3{)JTm6#pCdkn$`gpx&9BH+$RaRVt^s0Gv%>0HY z0u1SuA*_FS7_6U^wlq>3uGaA{Kd}5KAymH%c3(Q=5+Y$k(VBm@`c?mA^^supQ9;?A z)|$8YRjP1+42r>C;iL>E%Ks*z&O5@EPW9%h*l=KB5KS7<;3P^oIcd@*vq-PKcESH? zLXV!jJi^8?v9aGXRhw!|yG^D()B1Dp~xx_0W?DPXps z<<_aor^JAb($`M?31}6DR*D!}B*y4e2fr0~ty7ral1fVhz{yxfOI1WLOp5#Xp83n-#$*-efB9A6hAD z)s%M-Oe2bBfFpr+@k`>m_%#NpH;0gV7f50|!hzq;L^vU~l6ZAFXb9q}0dc){ly2lY z>=90gwV*%5ow0xBMNk-AM9FM3B^#O3x}HL8^Rpm)9{9*g5J`ejFV(nsGHy`q5>y6o zib1KDiUt!O1gC&jI(PxH4D!b!aE{O=9xfDME)g@m0tH&Qe@HIj6_rb{kS%anVJ26V zU=4JQun_nRvPqMqM~F9+-d5(8QQcltil7v_NJ@rTZ+Pw6ssHRc9Q1innl*5v3%q}C zA^2z^-M|`si?(zJL*C3ZH1rvJomZTPM6)t_G8cFA@pB=Gj24;pF8qweY0Z#=kRm=Y zc4lAblYGdC1YlMHQj1MG^dC@2;9*EMqc|<$GK7WEiTt&JGhKhkuZCi{kUlXPgI&B~ zOv%Osu~*}d)c~&wFLw!lRY791t(`j7^*gU5W;V~Q`2@nymQm?Y-vg;@Y@+-)h!BS< z=|~}^WDkRC<7j!S)x~FxHK_j~cC4OQ?hu|)t({`KB+J)Mz1oH0d8tdHd$%6KgrQe> zOa&SxBb%dwgulRaolhJSEk-nlWcBWRh+nVwxp&i%%{Ukpq)f&BL#surV)C|v#F0M9pWJJjvv64dq`)oS*E>ssZ*Ix)P>V(q)uQ zZGpZ8a@an>3^X%cn17J&aDNW1sHNB$8=nhUE`0&Ka8q6`6FX@${^uW1`+2>IFevX(Cx3>e|*1KO%dQk=B@~+Hy<;L&i4g)zX8;c z1rc+FK{|T>ZXr6AtXUZsABSy}4GMiSIj)X>)(SPh49bq@pp}#D{04I!Zzc!$44_q5 z+M)a!;8j3hPuAMx&g3|WJ=*DvGugoi49Rh|(5{5`f<563+$9c0IK>UrpB95sia}So zaYCe6r=B?dI$*X5u{ya>g{#{5r^q(G3_!aX9$Uz6K>;mIZmw7VJJMdUwc4<@7Rolb z0U@S2uIc-i#j9vEB9B0O0H6`z4S-(&H1*`i>o9I*wkP}=|Bz88_G6y8kXUW~2nP29 z)B$_}Fa+==z#jk{0AB&171)R}u<;z==f%DP8wa8N2HQZ>=it*H!7@PNg&73;Zp_97$TH(FYY7{4mmz8ac=szjqI=isCk1 zFjd_CIc6*=weXMkVs)2p;T5G*wyhc(cU-z9eOPjo;2L0#q@( z&jkG(=GNbpl9=0iH=RzSAubp&CooUU?X-UOgZeX=+cwj=T|$~*JDnAs+dnRm048H( zPR!XuNV|)RLUAL{1-Q7bC}(>p9C$Rm zWBaLfI`LMyqXKcipF!M5VDBG<5La{;g9_dS72LfmKIOr8;3)~dQ>>v&r#fop(TMx> zt^{zq1zs6S;3C`xfJkb3v;r=9n$oF)4Q+f0ZYgNzFRI$%jv+Pws-z9@Ho$e}qeZ_g zK5xDOZT>HdDf8A@!aj4G`4KuC(1%D`krEE1hS1UH$rTpNg@iHXWwkbB{M5?LKvlsl$CF$oZV=%Es!#lfG|iY9PAZJkdy9 z6mzb>z+Tz-@&kG!HRv;ee z#eO(&<{oxl=MCLGK-o;^PPfFNxNxBI-kRB&>IW2YUfC?C;)KX4eP0syJ}d%qRCByB zP@-(UXQBs$7+ae+lc4ek1eKLcxSE;WoUgk2jyF@nP|;U2AAX-wUQH=Zu9E6yms5*V z3)N<*t|d`svhjPg(RWBQ#~V+GsabbVQxH6=hO3!$aLFmjf^G=i3YjkN{EYO~Rov*Gb#P$PWCkX`){&Nw0K2)iVG(O=qp zk38vQv-HN|7ca}St1NP@+5$?2B1QZTlcir>EWZk>g_5q%y@AR~H(x_qwOL)2Q`|~f zr|VCL1IzA;A?DZw(pg(40Im6G0%^}rAablhWl^#NaCr*{E`}-^F{uPae;fjFDgwT_ z3<4ITk^pu#bfQ5zJNiK=*Y^cpP+WRjpDb=yMs6~dPJO-gaM14+XTKZTM9X2u$xyAP z)A!>Ykk`GtI4bIJFzCe_?(|eE*$5bBAiVr4w8Ilq6u&3FUwo>&qv7qaw#l=~@(Yi; z3TGV?zrw-si03*gr6@6Lh(}@u728%~BxZy^GhGWV%=tZ>GAckuk$bS`XWtJr9^J10yGQ9bM<+i=`vSYH(Kk7;R- zCdB0AI1&uojClyx6kN0%YA9&uorDruA4tQ?IRoP%PI!=FQ=*cLsgKv@Qa z<{#JD7MoLzX`~)KG`eiia6HSl!klAViI7naT=8hWaglBDpdMuzSK8KOOORqLzHi|) qYQ?`n8&P%yl8I`4@FS1}`byZ4bUuMGGpBTJG&)%%#V`(=ME?V<*Z$A| diff --git a/build/firmware.elf b/build/firmware.elf index f26bded6e88ab1fd2c9c6869f59886a8493ab1b5..6a0344cda98d640b4d6cb09f98149d6ee0d4e6fe 100644 GIT binary patch literal 391284 zcmeFYd3aRC);C&v=wT-f>7)@t2IzDrn1P{57=jFGy2B+P!T~p0-i8w0Hq;u*qO-g1n9fg?nF87z2Eb^_m6wO z@7}M_+Iy|4RjXG0YSpTtca~3?E;0;5djGK`o*)-JnP^ABo9|E&!V=XeVk8`t^(S{I zG$Q;Ea6TqpCj@lLyJD)DzV`iZgns=R7Y*3aGzzD;@z&b#f_FC%?#$;paU}4A;DpKO&cworK)=R;`^K zj^#&W?TQoiCqas_gKX%RUcTeq#aR0@Z@kmrxznF5`7NJ&NsWak#xlSgvG_Voj=i7yTOtW#a;J(}SfUBf>vR$eYLP-K(anBH^>g=HwV%-B4l@3$| zP_Ryo_}JEYHs7gDR~tq`e}XO~xspmNBO~2;_9NGbQL)$hn-_4jY=yoL6cb#(dG9(H zQL0aO0q*g);VxIHU+XWLmPU(V5h3FCr(m>9#uCTgeFx3ajM3lulm7+VPz9OzlBA{E z7s}OHd$&i86tV1k|MRv{N}1$MD*4?z?3$GAxyBSOdTQ)bN1S&Pm2=NiO!Es&`5q>n zFaO%DEjL7gelr;nUb9MKIKW+bZ^p!StN6c|ENvubeVwFNxFy{TfrDU~SYS}L@v#fA`9Z~5H=~{K;vlbF^ zpk|J3wY;X}Kn>?s}u_e{MaLdOXWI-^ryWcecC~Mn3R~nP%-Y9RjRQb-9>B=|BozT*FkFJs= zrF!_v%BSXNNXrhecr{3z2YWsP6eHagHdpIr*O@6WXUvTGoLgH_Ni>Ow7|~`+td=Bd zYnT*!xW%5$4#o!icT4aGubEei)$_Va^iivMXgNNty#5unBCvzkcCTl5h`jFB{j} zSWm#iHp&}}Br&Jn+RB)B$QvxHq4a@9zxnqoRX(+)aEkxB@F6kt-uY`j0ngw)kxY5F z)E4RP@7*syv#pZcojy6e(!DS}k`dg9Zi|zwNBaZeNxgA#RedTiB$?dSc!!TP;az z!*=bKZI&vz!LnOUhxc)KiqiunCXAyk$5e{qDaGAb9czI!N<2)KJsaeeagk_ zY34Ad!baM5x?atyfI0FHSC|`fKk^0X1!gOhY;%=mZH1CIU4na)EL#ABMv|1_=>wiR z#q%#1MVp6=I8d|PR^|Jl_CQUV`?D!kzQ(fJ)>2nC*pe+)`A(64wXh+&nkwHnt}5R# z*KCmZe%n*$PEMHvaXnXzbPw)Y;~U(yw(B3wf@XVj5RB*h&4Li=-qsuxx(YS59o)2qVrU@g6p5dHb#pI5XpA&HgI{JmF=SbIaRre6Vp#pHX_rv1(Pu(;IAiKXC?JLKmr`+c)ZHpxB<8SxpQm*(E@%iD%Kjr8kYL%0b3 zyEMms56pJB3AKK*i*FwU1N6e338fIR6Df_yA(fUio^y;PkzFC$nHtt*n z^d&;ni&e5@tc!?*Ia4@yzdYA6$F@T*2Cv-;Q76}GW0m}qzBXdN??KB6Sy#)qEYY2iwY7jJy!qPwzQ5Lzwh(ec zCbh)v?|ix&XD5t_k%f3*Si!+FVFd%;bMK1%z7i;TtSA1z39GU0n{oGXWLv6y*05`NY~1)yQc(|X<_giEw#6W0%f+^dZ8G>!z-d<2Q+ZxnOqzwia$jvnFkaJ)LKJN-dQmgcfO9OF)NWa2LH(kHq zH`BP^mt*0#2%%x2jLYUqekw9_HSAIrJ+)dcGrFJJA+OxCT3&CgmSaKJ>XIGuQX_Z< zMC{GR*JTU5x4?T8ytf)xxm1;rq1=k#%F0kXjNvRxWauh*-vjTu6^1?4SEX0@79IFX z1F4cPfxoot(L?x_M-&f1PubQSta!+pix5{*g1!5F1(r9#PX~)I#w9whs*-Q9XsYC^ zdJlM-1J;06Be$7vS)^e%Kl}*#7qc1al#tEnW7E3YuI%?c0>1PR`J1f_<~;hYlazr* zJ^1b{SfS(lf}Ywc`HJ2ZQ6>Lo1mA50eSN{QMvMjEyN#f+5q1A-%KJ6ozl~&bU|fzv z>{(`NNhaL#Sau=osm(rjlTac*C6%s$_#`&V#gYTkeqTeQXgDWpWJiFrE zZ~n=PaW$>IY&VXsrUK&|Njy;BCqr9V?dHHI3}?O*+DKa}vyw zNxE)U`cZbRz!~#FGa*)-NzWdrv5J{?+$Z+hYDg~uZ!Upoc>to>8$iDy?v^JIz9p99 zTV}CUa+5w}=WcmYqrdaK4_8T&l-6FiyUM4BJ=kOV9rA-pEcz>q-}8`D^2e@htF7jG z`R+CDIT5p9-@C!Rue-HvXj0nsb-T~GRnX6UzA%tKuFr!=RsbHJ2fpjDgz@eclD$Ko zt7q#oqSD%**u9~CX!EJ3Foa646T1O@l&v)+F4AN|4-Ex>_ zGMrzuuyU@r0g+389Aa~rn@!juKLj((X-sR+-OVMWwZHrUld!yf&TjA>EqEC8HVXFt zpVe4x#2xT@N&h~e#+LIfB^*;u+~Y;?DVM+VNgvdaO0X8kI; zLSJ`nfQg&NXVzUC7=~wYYijM6jl&y<8|#hrta;eA&g_`Xm}$9=pwgJ>?vAbnKgus| zgH#5|6`eh3=Aaq&@0jEu*IT8Zz!^6veM5I!>&4Q4%EWl^4?bqiF5nOR!RN2p3H--@ z@}+mVKKg@;DNTl*N{_C#Bp0Vd3qZ+Q3U?d_f{AewyhlMA11S~KU63X~f}P^RgPD3~ zxwr>*esB`!79ad5YulO#(c1b-3~>X((vmKw(wzxsQ;0+c*W0C^z^V90IlQhi0?)^n zN)N*Gy^P8T&XrtRSUP0QcM%65XXmua$o+DVE7&!@^xc`;o3&hQX$qV_VZKCKTW5{> zQ9gf(Bz^$BdUM8snptpKc-xlbx+Ja;CAiVhp0CUdbE*>ghKkIvr37}qcdXblE%Omm zJF#WXh5E@P2~TR}HtqSctVpLSiEnr%D-w46R!OzumCW2n%#gZKi{j(A#}otKcN^Wag6@=iG)855(n_&KD)4ZiRD6 z#_=|5lnd7Im6BC=yv-HGmAGV8?SiZok_-6SsB(yjE?Hd*xYoL4rg0n8{!u=15pb>j z0m`VQF_u=>xxoJYg{^|bdOS!3MB_zdZpxJ z7g0*aWgIF@pBA~a9wIxW+EVA_X<5iVi%%4xZqfv($eFleb&revu@2-YhKtxU~LlIvF>5+G42!B_>m9` z`H-#`+rofj?+c!m3ipuT+zQIdb7mflaGE5Bjd09%{OE{q+7w!|N2-Q1D73KyQY`c@ z3SvrXm3F#HEOgE}Xm*<6e#Y#$)%lm34#&?9v(v8dsnr2F5*w5ioDo$LoE1DH3ho{+ z`G=OwH8P_ZsijLhRIVo`V~iS5*?H?0oOKcJdC&%q1LCjr)1)_Bsy6RAaZPV#V@X_g zyThQ{dE#2XT-`>)Mn>9nE5v-_8Z#;zye~#%poAaIZDOTt8FK9Eo!WBsMphc+W2Nhm zvuyF6?ly^$`rqV@jFfOQQA`l=1ja};HwNsnR1?MxQBGe)+=n`rr~5}uwihb5Zsy5M zTL=^C(pQ&MP1D~oBR%4M*aHp4Q_mCoVci=g#)j_iShjq?`H-3Wp=6Y(P2UOcc(I=g zWEPcyj9w(6dq75eUOlZ8%CurQ+~R>vORjq1S<>mn^G5g$zu!<=*9@`BU25acPqPVd z6L$b_;?hIm4ixXsj?H{w4IG5$}EZ`-;Ap&H<$=S5-37)EmUKKnfCB8#A>x$nr=Va>lzl+`ES zcD?c8cVrn>qN9u6fxC%!Kq&3{f*x4SP$=G zMwMfgqijZ5)($9ZcD#~RmObBr+&8cTI%XW|c+cVQ47w2yH{_Zd+F0`HByp~BLJ1@7 z@%3x*kCMM5aT7~Mh^wbFCO&30SY2?FNIQMzwY%lMIg!k6In08zK1T%}^QsT*UvzoR z)6;V8>ul=tm)BfglV`WWSr^yvC$jR_MmRuX@dNfn87rVXe{KHSEG%D$_bM0HyqUwSex*MDWZO4azWyNhLI@+N&OlMv*sEhj&D<&Q^4NYKHhxP zh*YkRG5;mwc_=A`y8h0wx8`L1D3`qzAu{FnW@Wca3t_D${?2o^W{IByg*pDtQ@5DP zALWw0Se7SFz7D_Yg!((5zcnKZb|EC9CkNM*XB5edw@{M*Cl?Ak6AS3k_i5=1_i@kO zx(m)=eM{bM8OeQWtA^cX*!hvkn{6TI-)R}iR@j2ij~x9TtjcF#W#?i29+Np{n!&Nm z7UVFl?b8y*2KE)vFdiAVMrImd1wQBQmqc32T!s=p#tb9y?hQ7kAG|l;DBVlU*vB8` zbQc?A1wVZ028s98lgc^8=g5-Nj4387!eMP4Gs~ET`;&58=6P5}jfUh6?jebD&)6H` zmkeu;8umW$+XKdvbl3~+7l`pZ#OwzlE@Ldm_TgT>Bx|5T}X8ol>WlAnl znNsJfQ=t~55 zwJ)s9-+Ajs{#wQ;z@FB318VA7qrbBqsJ?m*sNZkAwT4$pn{G^QJ zhI@ly#}jrCpAma?&=1B`;Y}{t;*D@J$?x{Wa6R;|^tY>}1s< z3{5#Bt?1I5Lo!qy=SSDVs^Kz6!J8YUa@1Z^r60*>q>)`ECT-Sx4sF&Wjy#8eYeEhB z&=T+&l0mHK4@-l6ZAgzh~L0N z{*r8)qzC!RqI6kb%}IJCb`x`qm@1yQrb#&=$GR9PvCFFDsKN5sF4rIQpf2p)+!--q zyohD}fhUEKcVFPQ2tKH{MCekeR~d=#QopKhaf#}VrDBX|fw|P}!}kd}99rrC8e3NH zVx-@FdJ~qYH^6vES9_&k^e*{0upu7hpw)A|C1s3s+NV`Y)a3+9zJU^uQZyg+F%^mp zpzCmNKIrJoGk9G@i=g}gMeOx4Ub`2F6uz;VJx%vatTPgL%KJt&+VE6}@v>h%bt7V>A|*w3HIK|6do58m8W_@lhzEsOZY zHFgzK{>3%2R;Tr-$|lvCHAxOPXziE9JW2SUB+ zex@>B{2b=P=hw)_&t}v1_tCePX<*g?eA#%rSo@ z$69(Vitn-L#I?RtVc!NCo=_}`2Rjxj79sTrSOnIzlYI0igTb)tc3af`Gw>TljEJYW zuYfuWDPG}@0R{eci;qDq^|!`>e=yRCTj&$utv&fa_2f4~KK8#F^6`BT@&kAT@;yEI z$9wXR-eOEi&WOTfr$O1v8XS>-jBhH;dz}vAz)%=xI48i&x7%PZwy(%G9nKhwaUVwF zU_=))!bh zeZcE`xbk&tTw=6ZC-xUZP6rpwKWL4CUv;~T4r6{iSacMuL94!Ow3-X^iCHK&nqQI= zEEcep34TdVw2T!eig(D&_~5;9Nt5b7ZdL~cdvd{=7|{y5&qaR5LZlt=TX}A}P(B{+ zc!=~m{H_W*lOfKU`EpJ9OLDFyqI`s?G7XTK$m|Rvjp*VlJqORI(`$?-C^bfC(rc0w zDsgFI;vJq5BHY5oX)4BvFpA?X6kQR)aBd2>^JOQ!Tt#Sf zn3G#i5}9+F4SeG)n_Xf#pun2pNxJ%{mnJ5q)N_^W*of#zC$|CjieO!es(kni&NOam zJmBT^rS7$wt&tpP*!l8tOVy?!8P7(&B**JvF8D}%s>hsV_>`;Eq?jcQr%mC? zg~}}G^`l-@H=E2DLqyoSnS9+tq{Mj-@ay!I;~^Ohr*U)1>ZLoKK7t%i= zfq#;OSfWM$TrUn5*E)lX)M7cDbPXb$hAiu4yHO`zkd3aey-W(`n4MeIwi-B9SXhOR zbKW7TRhoLfWnj={C!RJcBi^$moZl$hEhcB+H|q`R;ivc(JBu;Db}D0u@tB=IJ2^?s z@kua)XHHsF5+x5_@%U_2_lz z|IFyaUW@T!_zKxh@}f4%Q}m{EHf5!3*B^mj?@fQyxZ;7CB{ZO4+nE--PA3Y_KDXzw zgaM*j+#>2Kh_ugTYA8$?;|XdY2}C;RnhnoYF22R4S}oi3$&GUp7*A2c^?D<$N-8zi z!ZV4dBb}iRlEj@IX2S1P?8XHdL8ftyi=pQ0E^Wht1f!=U;X*y$$Qa>oqvzoZ-=WN4%PW z_Si4JoQH9;n=X;ub_ftL02oMhNLj@s5ut{7*G#4|Bq*Ti}<%qqR?nkQ-# zgTab(8trLwQGv6<_Ct-utdf}tsuus~_nTFmIUn!u$BW!QaYgobUcbdyW+)h|lvQOM zTB|oWW1P`o=^c(Bb@1t9FzY5ua9Qt&JZOTLYh+Chz3F4`40T3LrTcg9JQ04=XkoiU zQ|caswk8IPB%!1JU{8PYi?BzLPUiGL2isT-eHsY!ex7K~M~Q*ap^j*0fs?@gf=FX! z?Oto7!%^roLyUpmTK?fR7bX5Nw*Lf=s?-=xH9EP0ebrZPHoeToi41(V!8JxLWh^h+uGfc) z`sz}fzKUsv2+?301YdJ`aGhXboshk&Y#nD-gnt)tQdvLXYsMK2BwRmIt5nc;yXS71 zpP(Cz5#*94XqDz;u{wum4vIpv?c!Ol66;irO= zLe2y`j)$MfL8%92z9pELqm7b=)J2^1Fec)VH87j6A(3LOTAPHgt-#A(js-Xl@5 z631_|sXQ?eSaZ0{SibedInH^}-dIR-l}79*_7!6e!uONbQ1I2)YD@?HoiqG#u=kX~ z;vw=jTgZ2KQt)@a><8rITRkI16L29nMk={u{nxysMXxut3ceD8TU4!R2J6G1FD%Rw zZf}w!wR$@2F>$|vV-^l$_E}Bv>{|FPlFw94GdM|-7QUefPuDxb#geJ=B?Og<~r8M!n#-JtAca=-@E!NA=< z?ro4yt>SS$0}h2zgKBvAwdJR9f9G$0YveXt*GcFn$SXS)^WrL|ybMkdOwyws#yrF6 z0$M$cb*h@5<8)4Q!{8zJ&R30p!y4D5R`Fe2TarDuP#C(-$v3B~vLy|Zc;^mo@0`RoFQgn0&T`{k=;{Lts9mt4OR&a{pXv9&Luge|x_# zp8OwqCksw^`xpJG(~y748w$5E{p-t}k?eP;%ANY5xQ8xx9Iw}9=`tgo5OZ3C%(-^l z{gb3a9;T8R4|B5^Bk-yM#(WUI*7*ePNN|6QSX*r&geZOIoV-}!{7%E325iC3-aTnp zR#-r98|thBU(5EZMYHpDSo;{&)p~#DRKF{djqfeetYqqndWxuV!YqQbHVlkv$NdB*PEwhI>Xva zMhvfiyBKz~VQ&}YIcKRR*C1z|WoviMdb{B5;xKqe)m$nzNow{|0e+DbYg{0)a89Uj zvh5C&yTdWUP#=<6Zd292-Qg;jrRWT*hn}`?gMG2S`t4%S1==&6-+D3E71joO63}Cj zysYsz#0~c3h+S$`FJ8Hm#@qod<7O0h{Z94dM|%#tyx^ zT=0`u2Hzy{PkL4rn-A6%1aLTb%LxAJ@oz6Sfb{%&5?=&;9y`<2UOLMJeX&7$yWrF{ z663c0T*TK`by!De;afWrcf~omNn{f2?>MVET+2s$m|>XjTKsSkGeTYe?5FP*F_Y{~ zVhZ?6;eCqCkoF?c-lXPo9j`hEXK_H;n;7sV2I?B?%|*=kNY&}$xb_lNlKo`C2Iqh* zgB89vhBe`G!S-Ua#Btb@?FDjmlK8dv-C|KPs^2ZR0&hlrrQkRq_oEl(!a(l!o-@Xu zAwQ5OBc>A=>&?!K{#$(q`+I$Z6$gWF@X9RQ4p@er>XTLJG(dZO>Vq>@+k)yHPQhtx zFEKLqa53LO%q!8Ws>>Ceja+#_2aMQt_|`cvUYbn8o^+D-Mu)k*u`rU4)Y+w)Lck)0 zv!BH10q36qStV8H;VkSQbEJ)pJ<_64@x%BQo{O*H8&YbO7DVy~WB~4o# z5nw%IL^*vUX~Z`8EIvNMsjI=;KocaCz%o2N`r$WE{L2IQeJMWK!#D?K^^4tVi)#qa z+}^6O2c^5a+gmpfc5YoEd>uW?Y(L@*a+>XYk*R(8EVDhm$Rt@Kr@@P9j;Wh#04|2XE&`2ZjiM(LRYXau1OFk z&)8{O=uvgJ=IyjC=y^Zd^Pb=HF7i00=xS7P>Nr(baL1G;L3I=~L^~teU1|Ml;_UC( zqaA+%j`VPUalDRYg9Bx+J1$@ua6|2JfW~yHIr-$j zdmo=7c)}Wfl$Vyxn8JI64%fIDQuY{m~%EHZSuYF)FsdI@}?ZvE57Mv;$HW%ag|;!ZmXA%+w4{D40xDV z@LL9nf<$8UguquuWTbwTbD*ssemxBy8MK$lFPSRv-QGIq1J*;}g@ka3Jme$!|yn_dVfB^{iUG@byZg)?cP^9N2i zZFauKT{t}-_9AEN8CbF4G_+#Ab6YT!W}*3?`-RKMb3@y zJi{5i-b(!4SdmfQW|Y0G$ZqA_5VtS%$X@mC>)1BJ?Bwb}BS_VIZ?AR2`!HB&kaQt` z+NTHm&T@TD;hvm5om9jmeF*jx7nvm);_G3~?0C+bSd^DlyqAHS8Ti{2rPVhzzkr2r zL#r%UxZ8^*l>yr|%R3(Z(BHXHDZ75=P47R8GN)%{o$aY#3Q~o|m6|@M;r@1(2;ZUL zw^VQ=Q^Qo|4PxNL?yJx7`a72>^{<^-uIPSLsr4r4wuA16dUP-JrcA$F)IefSF?U`r zg4>kb%lVg!IC$eNnPp~*^NcF|bh8t7HT7nQ;PAV90j&Ss@HNXAmuLnn(oT~RY3qB@=+nq`Xd!3JhUTqe5-cp17aRLlTA!H~G{lre?F9SlS z?Us2<7S0A%n>e7pu5Fb~y^~Ep$A!uIp}Nyq&Y+CCHmg0yuKuSX-5~dyfV*xD{C1?u z$gy{M*$mn1nvCCyuvQYbj1XZW$<2h@fXQ&07dg0*S!e4j#-B`ZzNkq)bxbqm)O0wV zVg5eb@`gm`wCQ0IAA%9tpi!SLbS4&=+a0;+&xL@(Hh-bhmRZ-v8BRXfV6|q2J8-w| z!>_^Mgpc<DXDe`mL^7W}0H z=KGgkHj%A|ul|=edJPgAa>2_ch4jd3lh%Oj&ponN!GC^K=*ta(nSH(fDL9d1A5#A4 z!#>;V^-H{k&T9}u8ViHLhQ+v#F|zR63w-YeXK3`b-g5}je3mM6jL7uZ7w#zK6(ty(qgdD zy4YYh7YyFpJ1gUzt6&t(nY*01SZWWp=Q{L~oEWfm3X!VM`zIT*8CM_41d^VDtg z_ii7~-v-q;Fn_arz4MpriND3L&$ceAu+_l`_sujH>){j)`WNaQF$HHd2Vf45^x??i zoUYL90UZgxz?{B-_yHa`KdmrVx#E&4oY!0dSDfx#S=0x-VKJL%e+fo{<&x}uVFhMA zeP`~m>Fd9NJS~jQP8&uI)}w_oRek+6)qSz;)+M9uL+$B~mHC-YTOn5fyRe$x-%gY^ zAT9;kIDC5>@cW6qNo5WNO&qM}1lIX}^(O!;Qi%D%GXS5$Kg-m;t=%))p5CPDm+m+W z?H#_YJ+9bN1$A<7YpeZ=&DNwcL66|~H_)1bcK5c%*Uu=5ZFi-oH$B&*jh)LCSgO%> z&Y1zf99XJMQVFYbvUZcCVNLd&_zFjihi$ON>phlgNBl5PNqiUB#oD&O9lObHY+r7* zH8CdEUg7x78(thy47qS-fiFwpEwNA*R*@fG(C967_9+@z990}61u?M_!$*Mx1FfKp z3S)742^Jpa zCj1J`-Qi*4T=GPVOP-Je>l>Wp&CathvR3x21K#Z}+gZ2;oS3s3zA;X%4i|5DV>3Bt znJvu2c94QHTVD_Cg0q7?Z+C1-F0*MM*9Ey;&pWz46Fi{=V#0vT|85-)c2I%&k%=o7 z)a4xOoOK1k&Q%4fEchD>57$8ox7e8a;3BS&G23BBgJYnFv)i`4WzZK+^0Sc#p+^w$ zUhuPKqZ4{yiHY`izU7C%bb#;bC&IY|?>7QIt-sx;|MUSkpMwt=^tbtd;&EUT<_Ezy zPgd?v|M=Ay?v#|J!oWx(UWzW>0T#KBn#>>z-vMVA=f@Z(ih(hL|dU=XAckgV`W z$o|eLx6I%ve}4ObX9xV{ILKa+-`kVG$e{EVe~)y}@5?ZH%J0nRV^`qj4ekjPeemT3 zd~t9#|15YJue^ioXY;>TB$o6_fDT0hbl@9x{NjZ>f>^HjMXMQ3S2%ChS|jXifxa5C zhf@c%@Eh2mh4Vam04acXeV+2oW!~Hfh+#JLZaCHBc)?$X)zeW846BT7CY`@}4>k_}d8T>8)4(XT1=J=PBvs4*ZL7e`oRk(4N2C z-agoK@9liA-v45c{lC~V{>DdMl8V2pq8C~BmC57&n8B$qgWLSEW)sZdah8)#BmUN( zdlL2+nc!!{7y+l?GFt?EDP)oo;ftZOux}x=&N__d#zL&ITE44irV}_4agD)Qb7tFf z_>n;w!CAKO3fuLQL78kLG27s8`L%G1=R0|;bFlA5ry$?#ytlh#Y9s6gpr-L2*e@sv z?l0k+R3cZA8u>HrXK#S_+)lF`uTpEYLQt@-Pu~!|9x59z9RJs`?~X=(x%u;{NA9dk zJ^bXMi?xeuht_IpncDuf_S#jor)ozY+I)yT{Mg}!!xQT&>wd2rbEM=*#gY9-K7&*N z^q3>RL;l3N29RM7Z$32g&?%^Ahx!cY84CI?);@VC_3)i_Q;%%^Jo3x$j{fV|h2xfQ zKdyi5)V=>MI=kya$i<4Erd;jw%dfvTwq5T0y&F!4e6-f6Gw9n4pAL8^vSrZyrp_nTS4e z%x}a!e5X0#Wa8sPgNB!l(2QJ={AEhWXmQM=V^^j=KW^z=_m7XA@WX`XCJvlbF-en_ zpZ0EAL)!H;V!aOUcY)WWRZJQ<>A8tNOo*Lu|M;bMJwI+`>Z4=DF(ISBOj(eu8Cf-8lb-#6<| zj62?P?31s`k3Re5vM)+M-*x1CovH5W!`?#=9rD$_S({fIUmH|QAjJdyX05OGp+nw7 zPaihbov+((`wecKX8iTN?__jyd1|2h!Mn zvGub2v-)aO)3{$A{B5=526MtYvwds2kK80=0{bMtSAA6*9XzwoGa)-dzcl=GN7n#t zMBgagAY!C={3jm&&-tC--{wE=U+W+4|M=FBTgz_lyHVeLvg;GyYI$Vmp^j1QrLF&x zPW^W5mp7X3zS{V6*5&n$doH|tcJaRrr=IhCas2er-AA%&-~06FM{n$nd;i{d8a z`oxCQYhPZu;;CmAjGdcuzia9T$$p0T2_%M5UIbn&VR%kOM&1=yWK70K3O+{RV>CX- z;A1R4QsL1jhzuKMoUvfZf<=ps^B&7Dcx2vOkv+F)@oo9AQNZuV@tbiVm46Iu z2yj1u4ASOdmLwx_J~Exmd+6aQ;#6W8nVd3e^q8@!<0jke=~KkpGV%)w=gxbGkarlO z9SNxqq<~iXqzF(1C;}7#iU37`B0v$K2v7tl0u%v?07ZZzKoOt_Py{Ff6ak6=MSvne z5ugZA1SkR&0g3=cfFeKUNeGALNMf2&CS; z|JB}1k3oG?PP{iN?9nT~{GcMi|En2E?V$+#{}h3tYKRRMLgGn3;?ca9@LqyTXHB)H zPDo8oHKuA(y<=;}t{*#RZ1mWMG3&;R9dmZ{gAN1$#auyM~01jz_Mw? z=fkfJBg2HDT+*)zU&Oz7=cFNLV&|A^V|ZiCAbr&514j%jEk-1h{~#V zX==43$Qta@)%GDFR=q2q$yBWf)q*_z@SRXduDwWgLajl;KvNTiV=18c)vlb~Y*YyaY!M7R|01b;E? zz@UpPyxDGYov;jn;4@OKFZ5{s{BJIdvl5fu&gAi}hcr1J9{Ka~3yKIc2uRh~VKaz2 zNHarV!}xy89n1hOoQYse%rLqijl!b`0j6gtpny4?qq-4YOI*NKnOohuLH1D><4pP|W6F_SnBej*3?mJ+_3f z*_f013d-;r161I&s@Ig4M!*-(Z&YI@|BDI)HTXzZn&vfqv7FJSp#?)w!Qk)VsooAU zdhT8(9EvsL1jxUS`59Uvnj93mpJ@h$*PI1=xY?xF8iG~bEl8_)& zxdzB!>^DPLrTZi1qP&(%u0naG?UaD-O#nDI3Te>&HoUme8&Q_!QcwROJI(B8D@nK!;9Pgs{v+x}R_u^RtnT5>|sG zmxF-B3N9D|?rwxPUZ_WU7Sa~s9i(%SP8BXA9{1o7S%piOe=lN_9^}a*+LWM&p|p*XhZo2k$zBk8EyUx(tj1|u-(5R z?GQ3h|KE@<5PnDd9Z1g=im>nbNIxWuLYV@jox)mds}Sj8;h$g$Hy7zggo6Um^N@Z_ zxP)~dLb^ow8tr))=|zGG+jSznMEDflun6fV0zOrY^ip9U+B_fWWkN05^9a(<2u(0M zxJQwGR*6Jn<%9kL$T1dzIg-Aay{DSr@iUYb-_&2t@80j^_Us2Bz zq}K{h!;^a)>2<K4gh8190@AMs=Ib~xM47KF(WT!{xYBe$ ztxuVTTelxdghAM^SCAxqlabztbTQLcL}n{8r`Wz-*zz05Oyc`~hp=x)W~Zue1=_vy z2ryxqzR#kA>^=p|6PmvFqgC#ofGO1kOhGBdl>H>g0i`IY@FPi39PlWs@Sy>|y_eSz zb`z@JXNMdfv$cJ>kDh|2g{f%F$Jk#YSWxBw;>-vR^nruu53Ep#GM`{RFU&{!Q>0bG zVx&JqS}klr`D&Ec3aQwqe<2+tv?880Nb3ljgbmd4P^{H+b-!Z!BcOykg5^X&P2A^5 zvqBouUm(p3ClI|a1MPf*Z63vZt?&fqe}#0Aa2nx0hO|z&g!I=)_l3m>jXAy=o*_AZ zBR9BjafS)7p&*=R5r_~$M5|7sI4@Yx#lJ^dEo>BkK7+JYAeevA0d$Zs1Wot}X`S#Y z(q5$d3P%xwCY09)LdNgdRG9D&tlNt8V?rsm+krC20^vhO`nWJ3p4?5OztM!E3}KM* zXcDoHoWgvk!RXGZ73P%YJ`@ua=6j7B+tw*egT{?wp$cnjWDm-0ET{xmdwE144|2uI~Fa;A@-CQ!U^I zg2^3_XMkk^ssl)bxhS{*?eK+=2mh1shu}E`2r{4|1Xc+U1EUCYRk(^`|A4#+ShxW8 za#*P7FtJCluoUv{hQjb?fS3ma8Hi%VAjSg2B(aLfvR;vwQG^W02!)lVSLT+Wfi6Vk zLteza@CXz?)?3U44f)UFfmpkww^$c+TTOuSYf!)=J_Zd~z$|A!!(L8d9;Ku6)X-DyFMqHL2Z=^ zT6c#*?GCj5HKp}J@Yn(Sp?OK&Drf2Z$JyAG{X0!R7GiQ3Rnyn+gnbR^8F7 z=(}D;?Y)X@19}uWfQUi)|4>u~op}NjIZ%;LJqeHr{|WLk!htvgL@^TI0P!*sp9Ap$ z5HTn}*n<|G`O_j2LbNValpwr-im+?*1_FUydjSdT+AbupYhM8ogYvgqG~fbEFbD;K zFz}T69aPi=d0vnvrUn(!UV;Jn7i6269A>1M$z>Nq!7nfvufX7)RSI&sC7T#C`**@F zVTp-_Q%onQ;GjGp4@Epku<@ zHy1?mh)J&}T8I%OD^LvnV`gT7YxNq_4+6v{2t|+gH25SoLD;4E;<+UfzP0RD zk7OWbV+WL&%@IQj&W9AX1R}_E#Pqe7!K>nOa8{-$v-Ac468Cg~cYwqL5x{?ovo3{2 z{te_m$5}9n#kw`fhX(kKz+V79`abmQF^ayM$cN#q8>`fx6bbHJhgPI2~0Qz=Q#ii(u5^&i`4m61o&;#r6Y|A0WRCm3fuL_AKy26_c(qSeMC-{uZU1 z6uteT0MbrW+^q0-0uRlfhUVkf;7FZk81$2Xspl0933(8$puhp5#PAM0nAaFMPito@ zU@(cXpRYxUSMW%%YZNS{GNrUs-O@ah77sN&A^6+@X>0o)&9 z{teQAdpCCKF$IK3yy*$$?@^w3l8(>7K`CSy3#R2RxWEHp-c0aHq6m-2P%;XMNpjDXPQ1k$T;YHy34hB{M`YPf) z9NB>5V2d+>wah|*n%p4#7_!%~ZZooPAltzL`wOc22H24cErj_MxnGb|mapHCooNKw z)!3=u(WGC11t{>UAlZf8P);C?&|L`Q(A|3=$_-Z>K5#UN0ao#Mg&l*e;_m-KI|_gu zp%`6*^_X0X;_Qd8q1T|yqWJn@WIqHJ+Qn;$6g=86A2S$GM=7;G#@eG4NBKU1Ec$3M>mZ{d0bq_JPw=#xG@DKfR93s z>W|(UAXi{BudW7xv2Lun1#6Doi{w_UMnI_o;im9bR!}(9#8j9SD+&J8}F6js>=;U{f*< z_uov;INXmjcav0HeeoX#dFC}EO5ozld1jJzUM{CF9fdKynY#E1V0VYQ|31F^b zhKc^)jPzeZKvcml^X{CFjpuUS@0w9OFT(M{;1BX(=lR)ez+FW>{pu&$NT8#fFtMIU! zL4Iy8-*d&n?%M~sL6{wk*A48qQvq}}UN^9wc~C^uc-_FBoD3wd#_I<5d!*HByl!C6 zAPqZ0%)f{R1gY`5f&B?-of@wjSTE9j)p*^&Hle&;jW?9+@7PqB8m}AJR-_+Omtwme zD057Wx0S4n^l|lkc(ON<{ziw_4J=`h@#yfnf#nqDI~`s(uxf=lrNiq6R#2Glb$H#t z>J+9yht~~ksKT7p;dKMsPhrmM@VbE=pfEq^@VbGGP?$y?UN^AO4EE%b4zC+nlfwL@ z!|Mh%PGPR-@VbGGSD33hyl#LiB&^e<`#*?#6DTRF^L@Cgy1J&Os_RZoch^ic3@|hF zzyJdb!zcr?3^K~7BaDCw3Id9XibPQm;{rxN+(Fz$#klXreZhT4qb8b|sPU)9Bx;Nr z<_|9t0s=X`Tc*F5iX_gmF<-+Jmc!wuFj5kAf^++d9m;gbx*4OXiN zpJf|lVQV3sX7;dm8i||e6eWv2dO zhD=kn;|aS_Vyf6p(-V{(nwky-O#hnlPADzad@SYna435PEwnFm{s8Qrfc{ADLUs9_ zI26!mZxcWTB5uI$UhqxtO10QWm+WPRGl=%LQFeMV)xPVTY90xY*=_nX!&zg{|hLYUck8Z6(5kPo_0W9NGjVnf}AZgYMxk7t21oYSlnMjmC1Fr3pZsUU3nGD->w%{#D?WKGT$Ar3x5*E{P(s0r?&uwI1P z%%mwZ4Cg!%ika@|lMH8r2#uMEto`{SG-akuf^dNd&B1S(!G$8U25(ZhNQAcF9SRqV zFgExTg-b+e51yiMsR$jJ4(4{52%W(T?3j%rbY-T(aJk@aLtVu*S6CmRlPn#5tFTk6 z;9geGkW6R~!m+ZF)951{+@>QjvZ=X9mUeXKnYopTIr09$o3)&_VbQ zWaZvSt&S?M0FqgjGV-o_^(KhXOU&(B&UhpG7dqa(sT*qBNbShPH#13U3A)<7Jto`{ z?p_topsH1Xu{WF-r)X*p>JfxS_1q&2uZ0=f(Rrw!#%}Z;^3ymI4Mss4ht+eJ8{WuX zNSQ~2A4eJG_u?=Z3aKgzdI`A{y-`=Qpx>Y>-e_IjADH_XUDAWB*G|N4)Px@J#u8_u z6Ikjv;xHOc|MA56=py=0AdaJ}S(|p^nrI^ZClc2h@g}z0^mhLvOMVC=;O#+ZL}}tK z;+2IriKnp6hFKWPe%OnGZ5riO`2P<$NkjS>@g2x~o$1XPf$Oa9vI7W>=vLNXE=#qe z=UKD)qo8*qR=_)u4PT4SVOHgnVc;jpGqm@F(5vb!WbJxOkAV>ViS1uTXhiR`cE?H1 z$bnbTs(KW*(7WeqT;Hqb9%Xpy(JYPK-{_WAO(0)TcX|imx2+?Z?sX8BvyL-@6(5nNfjtexGN`xDbH zFnaSJ-D~6^jp9SK8tT!TFT&)|)aOa$)@Xa9Et-S*Z0k;zcm=m}Fxn;LYX|$XBrUkX zViu)^Oz=OrNAPx*G-2>lR(g{4EN%$$L2tV4DwgKpE>?T8^%g9x!IjKExh(ntbQo6hq;x=6_V$$Wlcvj)qdo;$2b7&h+s!D^?P9LV? z+}i4cauz@#57{_#yiIViw0uQL#sr_m%J(ymM>G2^L1$#V{^tL{9>|rhL9h|L$(|Wt zvKTv9!M+$MWD6I?u-&1}Jp1)RX3Cpj)?$!@4!X4qMj)TPGEoF4D5J2m$y=C=QO}rn`Mo_5CmUyZ1%UPHiB~~%$9+&gMgKuBVKN>fOR{-;)S#r+{=-fD}&n^ z?9Lj@v(85P?tmdnZ@xTqm>Kk=+kqBOg@wUo%=#b^jtVyO9A6;9^59ZteXunVwOJXw zP0JzHUJ%v>2Q$r~QqHD;!DVluq`4+&XV!;_a7*wREr*M6caUMTj}T#Vpy;+pgr|bJ zv>Yk9y=atkmas^VEiY)9rHWjp<@qJK+VDT~mRPGH2Mo`9O9dOjGB$phMN-LfqnsBQ zLdm0#QO>M6&l9=!GjCrx4eNM@dy6d&NZm1P{&5z|(xoz7CMja0QlV*~O-((|MVWa} zyaycGJR$3OdX#3V2OQep!dT9kQVUTsvWzm5rIe+3eJT5n9pR>)1@2!a&j$US4}fp+ z8I0b7;vRG@o{g57#pS4|iN}_xhCjItKJM{^UA)zFy9oDW%6vYv0kh1gUU1M7BXj-} zuo5paG8YhA)k_wQFftbs+rTYG<|1OJddU*#FD7<@p}&OKiw>*6ti6;t6CFf+8L^7) zLm`=s#9=fJwar{koHbG(Q@COU>XK$fQe;{MBO72$kzy6J^2;jqD(;Pf9d;umpDNgq zr$vfHs$djPJ4-X9aQj4tREi9#phHp)fK~N70$KOF8ro3pZQcqYASX)gWBxP`J60|b z_BB^Rumf_U)J$^?1UI+}=YX1Jo&_OeP%t6GVX_s{9O!yx#eo^5>CrF}<_fF1QDCrXXkn|4!;JEO-wo^^wr2Q^_S?XKFH zH-yp6=rJ{ku0}*ol z5zmasiBkI$?-%`p{PN+kPmqhg}cOmhy5jj!n zFydu;P909XJSwoxM-cxsdW`j1M0{dIPLw*5_~eM3D7Bb)WkgPt`U&yRq89oeMZ78^ zCrTYnye1+iN-YV2*GA+-sbh#wkI0Eq#}c0roxnM=l=#euoG7)7_^gPWD0Lk1*%3KW zYI$$qb0R*?RmT&btIwIA60eKMiBcyJuaC%yQYR9h7m*XCP9okAz0bZnnfUyOoG7(| z^YMa+oG7)D_`-;sD0K?)MG^1M)X#`7j_zeWPbI!2`Wf?IMSN*QPLx_rd|C7=ebx|f zjQUc48u8`&e0_;mh|G>V{bmvSkGZ?9{D?L0DE+*q61s^LPjd*vX}X zUQ(62jdGs#bQF1nQO+KxugH~JKD{KD8L11H^Q4`9sQEM_pC05oGA4i^=?E?lzN`n zi^z#mTZl6eIZ^5bVuddhR_4V5${NTZrFwZDF7^?Fl=bIkKG3EdBIF#F#wj`L8Ib0eVp9gtb1*1eE$0Moe(aWDrmJNGtf z8=0rOg>bMq98HHI;&2V~ZKA`VNw@(rhu?=vyDcc$9C1D1pcp2zh1$UZeMb_eQ$I}` zwS%j-QJhFcJ)IMe{=l~iorM)5N$R`V_~@i>_ZMa2#*JDplX#c(FsQ7cZP z;!;+>?K1H=i;A167_AlSpm5))fMO>fEq3RyO5^^<`q$BIg3jn@rhAcY?OL$}iXmT8 zG4Vag`B-`^X4#Xp$0~Y!&L($g1*T4I)2meM`b#8vrEJKRETQuWNxzove`SWd>Gro# zaR6JlyH<>$;vuHrLo3EoaU(0-r4{3;n94l%)QVOrW>GOkD|Uop@L9Odo84N`OpoK~ zv6oh~Krys~neKBj9*-=9@$UeP)&D<_5mJB0qe|<8>re?x zzub`odDA+`o2q{tCdYmj$FiOvue~+MYfF&VWso<0u*rPNZTmWs|2zhH?HhRFcntE| zH&Sc(qj2a0l%aFV2weN>@embnyloxiRSfdlH+=$!B*<&u%p?-zwQuK5kpy`i3G!A* zkk=V5-x~~lI3oy+5J6r?2YD5Pyc)ZTL0*kBia}nD!w^AUXXO1znMZ@?;=j*Fh|l38 zRmD(Bkk=VCkwg3&OlL<2c@=}ajt=rF26-JFm)(m%1yj#na=K~uw({#ojnK*#UQWKMZ7Z0Ag?opbvDc_gS^gO z6cFU~?}lzYoTMTBj7lBkb>_Ux{G`hcAjI7pYoLR?ia}my{%@dn6)WHz$cC>)=P)b( zPdM*ye_VvyI-LEex-Ugsf%>#%xekk{GF{*D!cyv~zsc#UF^*LnK3 zG=dk3L0;!sQ1*@q@A0A6 zOvNCt^FGgp*@{74CkgWA*(AD0a$uvPji_}*J;vj3n5t;1)se8RT^)SqI}TI3F{}>m)(mm_c4=vh`C~T4M%z zog~N`Gsx@gVVw!f*qA|HXNtx1u{~yx*GYoBF@wBLw^%x326>&mEb?5sVg`AgB*+^x z$m{Ga&6yE1$m=Y(Cc$lHfpge7(c--AGz$#!Iwx72nO$aqL0;z+>*sKM%PKI)>#VUl z(eih!0)xEHTI)90FiMX7bM#aa$t@rx8M^ygZIA$vE{Gkszm)(mm_c4=pvjSTQ4HJd*vzw+1bLka<|E92L0+d_un{xJ>r52Ei5cW|NpIwt_#6teWuWYsL0)H$c)2lyyv_j@FQmnoL0%^b z^2QAEI!TZ>W{}sJZ=H^kX2uNiItN-j6&A(}@;V2Ja8$gR=lB8o{)WcdX#4A4WhmXN1rq05dbOLGWs#d>y)K<9`s|7*Ktz^1ElXg-O%6Fw&>?f7%Wk%JJw3CWZKAGy?p?8A$ z0zmyFJOKAjG6w(~@rAf0^G+7Qj^D~dSRsNNZ=_|V2)JYXfTmN-vze3Yooas1oJeZ$ zRwp@;)ZnerIT-4YjuC)%w+O(eT*IQC>J%|h54T9}@auvKAMR4m$MDT7B zAp@bs@NO0%gfP zI~jinYBKHL;J>;Ps?5&pU_+fvyeqM-#v@&3H)2;UBkm&3D6;S}Q;9>hVIc4{;=Eef z3cN3IY^2D{&&;}PYh^NX2VtFE{2qFv*vZNiKLECh^N@AQNWH~e7o^}*!PgHuGAn+? zb@{7QpF)UW8yKvaQ(0$Q-O7rtX8UT@G4;TwG5b38B=x7?%|cX1HSn1qK;Nj2r2cH; zCbfono=e=UTIjQ$xK&kAzky@Zrpkyf_yqc~>LRAShz)DU`NIj+mw_6I)HE||#d3{?ZyAnEUGs89NP7wVJ#W*-OB=^v{5 zso#euthL4Wk+@jA7`U!D5V*cbf@lBY zRlu8y|AhV>Bee;G5?p)?($+GApgp*J2`(ox5W$s%dLV+U*ce;=jJ3ELD=G}c55(8< zWG|{g#Me8h-2n9jYkebq8r2r+Z>CR^`W^MR(r1{uj}5r}-{`JpwV6J@Aa0d`4({SW zx2bzsw|n?CFj_@uSnvSx7#X-=GY4)gMuO*A>Nj+K_;Hw%{!lyLh`RrupGc|6r^2U> zPo$J|ejT4ksXdvp?p;*MEMHEz0(CV#LjPSfgx@4`Njk`=B?G5n0__>9)2VB)>h-^Z zR~4>9;#GzhZ-5(q!wdteK|+JqfM23J%+V)S8Ezwb+1xlErh&IJuhG1xTi1a8I}g@( zp&w*+TT9_Jpn|#NGf*ETi5OyO$TFuP=Tp($h||gC(<~-A4#&OHsp4*iI|%Q7SjQUp z=OQQk%UH{pVHzEaTLL6P=b;uXZSX-0q2nwzy9Y0uk6=K^OFSsFLykoK_^B8)u4E!hmRNnJTIIgy))=fu>gyWhJ zTW!s!TSS8;d^%h6=}x9b^XY8Or&}i)y&*wDq$7zbRevK4Y5R5@9j!o5UukicJZVHScZAS+98sjY?VbvJgAaYhGeE z&}&}eOrY1i#9^hZdGAKbJUU^2{P((XcnTk>K3tMD?>O982YSuRlt!S}yu@~(*Sy4T zpx3;_nLw|3iNipzd5QCZUh@*ifnM_x*93aaOI&MYOKaYfSh8O85*mSC^AfMj=`}Cw zY?wK{=B0o&ug4b-&xVsUq@R&3t$ANyetOMIh-(k#wlkNd+JRp4{t9|G&}&{cd@VYM zS$Xf`z)zBA+WrfKe3-0x$@>rVnwQWB^qN<4Mh=`9VY22OhqItk*1Y0bDQjMFtdun` zp&RHm?|#6UK(Bd;Ri$3@et@#W;3G^KXEXidK(Be(@R~rcc`r_*F9W^ieFC@`=r!-3 zfg1z8=Eb8?ye_2Iyv(y1m}_3vtPPlJUgkeG&}&`?{_TNY^KwlysZy_bnYJU)YhL>ZcfjdmzK8D znwK}*J!@W`k3DN%x^((GX`9neI(T#XM;$C8D*>N9P<-0H0uIrmP;kabjGt!IUMDD0&bE^*Ham&Mqn6|M{=ieW3$ zHuLOR;oiUuk`*p-&kC19&kA=D3>k2F@b7-;lN!nj_W>-bN-NwZ*n3vE9G0FHF1>nI zxV&uktZ-S;&e96^A_(23749)8sb_`DiQlurrO>m&rO>m&eIDiXtZ;u1p=X85ayFG# zxJ=Wt!llr&!llr&!llr&!llr&!ewqR8XmuGSfq4FLCY*vWNdLk`-detS>XnlT%{E* zanB034+QXMc{w4JJo*?OJC(CKk!6L;ldiPF<$#n{xGYPTI=7S}HXN>S(>67IdFIK? zgW_=Dw9S*OFHeTjEIm)ur{L&urf|V4MQ>f<@@i6A;m!xP^$NEWWmh}~cUv!J=R>Z2 zg|dSRlr;Esr(^{y zIT>Zi3ic}c7d>T7#htZYoQ9V8>&0oM2zLBBVw+x81UG(*LQaH?taS5&L#%+YxQ#@} zL%`y;N`zRqsak}Z*uf2>7mHAfH;Q^gDpw9O3kdgKG*@DA*^7XxQM99ZyX5e+M2yy%oM%P;>LQPD*zPDb4+IsT!z4JsU z)|0RAZ4jZco_u}pd=Z-J$=CNT5TQ9HU*Ee>gw~jReeWU>+G4I2yo*H`8~=&IB_g!P zPf@s3gpPXh^}WkP=#0tN_cn^qRS&+tce&thql|og?+R;wj1m?-yyx)8T!)S-ZopU| z0)V4gJOj7)Rf@W$LO_foWRy`0;bL&PSA+X33Qx*)7S`foPgL4bew?L4RoA< zI1}hN0dW}UI011!&~XCd*syh+fP1+mRnE+vg~I-8oWRy`f|2kElQ@BiW(PV>KxhOy zPQW_bfsPZfeYJs(6EOR_K*tGqYf%hzoFGQp#z4mjh?@c(Cm?PPbew>=HPCSao&aru zjuVgxHa5_40yeB2S0SFJeX}rB@c_jF6r8rpAf#=cdA5!dXmt_lOl>gX3j7Zq!)y;G zG9x31i93k#8Vb&dU^1~Ad`SK7#F-$#Xa;){hrzw{=_bwx>*+I{I1a8N-iNrxuI2xJO7k`UmV{vcj2NgepesJ+g9Oo5p z#c@;d30U7T>}OyOR&&K%%PdRzU&6=sMefyL6xYHtEWUs`)D~~YvE8ueu?1I_!>5*x znHj+~d7Q&Ctig4JdRT)S*gyPasZ4{Y~_`lF`i@_C8E7a|rTm(3b(_%3cM< zk;oP2Im~A9b)>e6OVEZ?u@*<$C_9;jD)TfXl+6`Nsq^ON>}V4y%wjJ|Hxfs?*oC88 z+<>z2<@Z-)0#Xjy%)Q%HKXV^kr(&L#s!p&G^SlH(hmE!4zAX^?OU^ZME7KOu*+^0w z^ORKsOc{un=d2oNK87^o(09D-!2Vx@JvQmb z6_c04M}j>SUF=;6_EhZ2lmiB#E6j2hlIC*G81MrX9)~Vb2$95Rx^$`?(HpT@h-yf! z(#C+vOp*D#Ckt`tUve-J7UMXm95g!1y5p$`Ytf9lPno!q7lpdNp?l3N;lL$?hp;{i z@G&?34QU%Go`k!P4G<9AvD|A0nbUNRgUspX2t;-a#Cf#i>2SPG9J|dOwCVz=UjEXH0o_Y;~prchiwPV-`Rcn##5p)t?R&Ap8 zHOFjCe&qi>`4E=OYG)#yQh$k^nWh05v^Gc?KsS@f&T8F7-(yreCP3t#652r@I0h-nk zs}n^d5v#O~LACZghZ-HRO6!Q#CecX5D*b6KtcSKyqk~sz9lUx98pqy(qmVPwI(Wsr z(mHrWSR!Ze-k#))5N%7y8GnK*A!kr)_^f;_P=?N$oG}q%Le5~*jf9->cR<@n>);iW zq;>G>0q84iO`u2~tA->~q;>FW6U>#X(B#+|{ycNm!7D-|E5R!kVkd+R;u2wlxJ1|> z4zm)xdKoE6*jR@D{t-C*4?a?T6qE$7W?Cpn2d|jYNC+Fmc0$-7b`!z|afz@&943Sf z;(S8bAdVBl260V7*dVSoa+@;94up-1S+WjZ5taxW#4GbUc*Qy!W?l!cC?I&{?~DK3 z9!46{&&ZX6SAS-HI(S7`B5bf!J0Wb4df+C64K|#F4QA#4fCE2Co_PL@t6Ef<1g{z) zB!msZ5@ADfMh=`9l}YewCQgv71h2$1E5R#q%u4Wz&`k&%oco!CutBV{I(Wr*ZA*j= z`j-eBY~5%c*V3O!Up}j62ivIz$L;4_8ICSY;>WXCBg>JkP=~oX%`q({73g{Ij~XH zvv{B$y?F{uAx&`)VS|k=B!ms|DkOxBwWy_CNC+Dg+(JUwppYpfgbk(%3khL^OW=GV zA#BjnTu2BTD`06YB!mrG+6qnhb^>8z9V}xD31NfhV|yVXY|yQvkPtR#=`19KjSG>c ztB?>j=+<2rj7u;G8*J^2LPFT+gxkzs31Nftw$tpD5H>h7yUbn*VdHu@zGd}F2pfB% zeeYPk62it^unA#<(@#41b#&P_9V{X%fet6FF%>&)|75u_R+GlHe6z-t1kXXZ#HIf}ErcCb3aS=ou`=E+q5}8A=qx zR)TYaWA73@<4IOIG3qDjFiB=ih^iDV3)|6YYYsbLN28LuH;Qb_0-4X_)9 zgr31+u?q=3gI;bSp=a>2Su7;<3|6$WkkB*kgwS0`=o#mrq?v_;p23N~uy7ei{2+_M zQH6w_L1B3zp=Z30a#j`+dd3$J))o?a2Fux0Naz_%b4?+kXHdAMkkB(I++E194~~#> zHWw0l1}#q&5_$%6d(rUuZNnm^LqN}<%u+=LJ%h51$s}^Mfxy!es}XX6cdejj5F3Sr zp3w#Y^bEP2l+u8n!A|9@mOT0xeMryXN!OR>Gw2x{kiN&@z6kUTmZeK2TS`*&&@-s% z$1_i69_GPnV8`Z3){iGcX_nq9>Ww)1oGDKNNJjWUhJ>EMt4VD_&o~jduA?4z1qX4! z>(l10tisImJlq_=(H}48^dHpEFplYeVI5x1>AxDSS=zq^cv=5Pu~o_m{TE>at`qxj zDB|^-{&&NFMbXD?yHU);(=0xPoUP&u@JtoYsYPcNn}O5C4&><+O&rUL*Tdf}PJw56 zaR829u@1TU#T1U2Vg^1z@c}LciYsxfD2{+nSUeT_%Hqu^JzG2qIp>N$0OyMnfurJ! zs7Y0E7I1ZOSL72Hm!m#~;(X-LtN1SJ(7Tv{e@$^Q^nHp0aqL^X0y+09u7Q7T@n+z< z;+4Sl#aB^Q|KgQ67K`^o-%var#{tDzI1VgML!OPr_oMfkiwD4eMDY^Tu%-AO{6`l50BdV;2h@Cr;wtEOEFOa6sN%`U zr>!^|{-cY1a2!*-4|(onq#1K`<2?;@2Zt+t819wa+PRR^3_rTXqXF$(X=3=%Z90`Q zcb`l%{OC4cK$-8Hr2k$Ex$Sz$wdB&2U+6pswL~JlUFhLojzb0Req}CI7~#KZCzr>*JIIqSNKSUg>Kce#fX5t$4A@Re+wb{-YDC-g8Vz!I;QQ}6mGX}ta zjJQcnCVrf_S#=RVLENgiN16X5ahp04-R(a`JXS3seww&l9Y_2OafdpQ_*vr4EOtsP3WIsu0R(wFc#~dWYWVZkWJ*DEP`El3u_2}g$QneY$AW92nn0W zKgFDg3~F5eRP%P`#8|AqI?0K#SbvSqNwbOk(@cgbYij&|n6;Pz4csEd|5E!y=rsJV zw0{G)Nb$cG!EN9cDgHMiWD4t%ga54vVFPJL{&yne3tbp}|9cU@C%O%@(f`4Ghy@mX zW!&GJ0;`I|O<@|!xgbLI&`a4(?aWbHA5GKXLD6Hn7w25FhOxg{W(IU77o0c&m zWC|B??(HN(Sa3M8#)^rjSIFJ2F z*1fFO4F5Fi5PXNAT4>ye~t+G z0teeaSA@90n6$r6gqi|l(*Al8P%Xry{qsa9HZUgbZxErefiY?Sd=Z)&7?bud5TUui zn6!VP2(1Oir2UITXe%%#?O!ay*aBnH{v{%`7oMVUsR$hnj7j^KiO^YKOxoWlLRSM~ z(*EUwyA78yY5xjqPqY`)_FC&V>?Ah$dhx)UiNH4qw)JehQ3O}_$GC1_!|X}Wi*Rm7p|uJND$sB$VWEqa z?-T)V*=(ZJWil8R)P(Ktvw3{F5GLJxHu0{~JYgY*yGiSWg&1}bYZhWSl~}V7!)e5t zg&0cr#zvY?7s6SmA^&7HgmWWYRsZYLg*2ZogbO}Jf=YS15UzL_{z85WPa)LgxA0Wf zS+fws)tp9}g&3a3>@^E9Je_Ml%|Z;%d<}ZdLJZF))-1&ETw={a4A&ED7Gk)8S6IzL z3@>;Cdd)%%FJdFxahu35o_;UEP|X7rwfN`Qat~_ryClu03*jYH2Q`(GI?)sAulQ1{ zl<8}z8!>$=W%}A`9!9isI< z7yOmwG*>=y4a;HFxAHMU-CdPWuy|V?j44t1)J?#(!t1MiM$SMXa8}AUY@=}bDz~ut zO=u5qF&z6HWS$OK=nK=4IH=7|C}N5zJ}1deWIYVU=Ooz=^tN5HGyE}14 z@i|F$PvTJVIZ3vgIIsAeBrENY6`zx2rTsNVn$JnHvmQd3$^6MKTY-ZAKX^`(=5vzl z>fy-EW|pP=FS&>L|F`EPX+9^(UU@E4(J`2jz2;Je*xBRR>j-s^XK!E!*^19evNvsl zzNq+|Bzw!PXmO+BbCT?B_X7`Bd`^wF)CMdmnL|j9m5s zj@(!ox$HyS3VfV!fwK=k20UIyF)O!c?TXJyvX3**4#nprS-B1CRD4d7m0Pba#pfhh zx!vl*)1K>Rz6DU=_~q&Z8wEZm$<>=|tX<%9l3ahuxu(G9B)Ovb9xSy5 zJ}1cyFl8VLd`^-ZXzmKjIP@LwMSKp|=TOKkKK3K$bCO)6xeBVFCO=_+^o5X-^T{bh zGIBn-?2?R}PcFM8Bj=OLE+6FP;GBp`PyftJoM#M$TW&>8{Dh`D;1dH5oa7J*T@S zBj<0Vk0vAMZ>EnXBj<0Wk0vAMZ)g8$GIIVG`ZQpd=kH>VYcg{Fp4(BbCL`w`Al78$ zysTbGM&|uanq=hs!*|1+^hdPwM%4YkKA%eS`BXG{8GPjVR3x1*&!?h2nKH;%Z5Yqf zireE7tVo*M<5Z7itRcKzUz z{59c@ClYoegi$@J3Gm0;bIVv8UqpIPow-oN3H*HlUnorjb4u%6RxF`nz$< zTKY@bBX!=~9w%-kM&3WC?+%C3*NWS2=6V?MgYr#Hd1MOx!?ztZOc-9Se9^wgi z(eB1OXK+nZ+#V;ML|5#d!g_WvTT4Ah*IkHhU7uZL)5OgJx;tg`=&$JaUbGN#qDw8eOa|G^&aaslYKu;-An&j z9Dse)r>w(%#4{DQ$BFkR-cNCRoOrejlH&F_@f_j<6t~BT4=;|D%XksSfIoCSIesJx;u23h-LR?Q!B`h)-AC9w$DQ_zZOd=g3mxGZnYT ziI)+drMNv#d>rxFireGF%Vz&oIYPlH8q zdz|=OLL<-ZapLod4>$AN9w)wp!fRHZ+vCJnP#ACLf6Z>cn!*j~Jh#V*Z@36Twk&@# zC&;bWLs(Xp->U|@m*EW zgj5GAzPF1j)5)yNeQd9xn(1>t``J|UI0qi!e6SR^$B7@L-d0BtKSZ2X+#V<1OzfzO z>HjeOUB&Hj;zx)*#qDw8M~O2=dI$@6>~)qO#!o)R@`tmPPtk6uQN&LZTWSLFGsL#~ zC428#T@JU$iJzk$Z<|s7Jh7*^Jx;uZIHUO1Rr~_6!WRlF^WwfJYY?}`iC?~=4tl;% z8^5A2v%9iZuhQLC+#V)cNiBlr{ zy6_ll>WJ`KVIR7ci}2e5x5tSyBK)qvS2yEI5#A_pdz?5g!dnGyj}upm@OFW(Da5@* zc&G3;y7e*HlJ^SS9w)9B;r#-)$B7$6_;Z2V?8 z#3Mxbq`>WQ;#LtpD{y<9c(e$g7jC6xtO#EexIIoh(d00HRp9nGafiuy`E_A2-6o6h zP2qj^RF??f>eJ#j^sG$#p~m2Dd~ujBV6YvVYRBdzcWh2I$L6VA%k6REIp(i{hwRgz z4=b)fA?egxE_OhvDnikq6)#dD8|Vy(>gll(Z$mjYjbQ~8Lu4DBf!ekTwjon+Z88V3 zT)jK^kPE38%wp52*?6C8i0rX5gmp@%HZyVgBXK3Lg&$iJnCdGoRM4?)yXb6tpfWrz->fBIsD<_r?S_FhhO}UK%tN)J1AM8WdorViO|Yd?E;1T= z3R54Z6&dEd0KI1({&SXUFuNlN(#n0qt=7 zR>*3LdGVE=<`JyEmj3`a;&@8*GpDs2jo%VEO4Yi~C4NJ;%$SX+;0 z>ct|515p$C*_WSKd?YmtvN7x%PCfpL4sO-(F*m-1O0cEf;C8#UD&Y zeN*c@Tzt*Ta7W(plXDQg_QrB`7H&WCNGNaRKXtI z0ITs0-0rs_ZCs3ETHAT%#3m=r7ie_r9$V$UgV5T^Y)(P!*7_+sPTN-O-m#uy@5DN- zbuV^Ujfm5cxOFOLNFR~UfjoAtiJL}r`_P3v2QK7UWUZfJjJ*T;d!QeO-^KnTEBOPw z$8;17w>4=HXYptoG5&TaM)d{g0{9iupty*U0Tgm$Uw$}X;>!Bs<58GM~Nvx|&)_-klU6NR@E?GHh zr8#Xp$wQIH`H_NSM7mP4Q zZ-U3UOmkfVM%@8$88k(7Haq2597~hN{Q*-E{eKheJ88TAGDTk|>ZwVxr6_(jbS(a; z#5H4Nq7Fx2sa}TrIFi1Q z6q1^PuV-V_0H{yEYD%U{3Z+yBB&Jc@ zn@)u39h9|~n5N;!8Qb*F$BlX>Nwlbx=v=Fm-`g;~hkNAt}*5n zs5#?M`~RHm&Db&Sd0d$IQRmwecEm9Aqb{%~e2Ky1r($~9gb#3a;zwO$PnZQ?ehR(K z2~XfE#!q3eIpGsr4*4lmn-fSR<|k@1CtQeh{6z415v}8=P-V8ih6@`%y?V9RAQ?Zs zdbb|~D?hzz+8umd@YAbLdugY*-rrAHfa3V6JUTV;B&0b8pFRfBgn4NMDDa8rneE@! z;#als>D8~D+Xe7bQ`27Bh_3e!?PF00KfS+e=bQZe)bwhvK;V*}n%>52Tpn%XWyJ3i z;`1CN(pbs z7T%C8ydhh7L$>gS>~m^Sv@N_LTX;jZ@P=%zdR<$1L$>gS>^cmSZwqh8&Y-IUTX;jZ z@P=&R4cWpQvV}KfABCKAw(y4RiNKL9ydirQaJ4PGAzOGuw(y2*;SJfs8?uErWD9S| z7T%C8ydhh7L$>gSY~c;r!W*)MH)IQM$QIs^ExaLHctf`EhHT*t*}@yLg*RjmL>q_M z!W*)MH)IQM$i4(MY_WwmWD9S|7T%D(3i=)GLvS2r3vb94-jFT4Av@s>>0cpoGza~U z7_fB0LD$4{M-$I~ph0hy;ArBxvY^q#b4L@;Yel1p=lZ9I(wcN$rWx>feJRIjp?`Jg zcYy9BBysdl4gDQ*`E<&pC#9NFfbz5C4(Um$=7=(53m!R1_^F}Az&`i)N$)~+W(p2B z;S-F-0l&F=DgOE7B&BzyTI^d&cKj9?V+KCoj!aLcT6H^j{VK&Xdz#_gb22nWh5V+^ zy~H+f3$~Xdc0+QKocoD0AvsCT1H@rSPLlH=aXus`$$5x44*!TDa5fXygdY+=Ok7(* zPLlHoaj}A&BB$1QkJpTrS%FsE%>}4Z3K~9o$l1UFEM^2J+ zvIusLoFr$32yTv?Bxj`v*hZf3hdQU2{0YiR{%q6k%qjWVCY=*GN%+|&3bv6?ezu9f zl2yr{ZF)#b1}6zW+a&&=fyvJ{iGMcv*(M5ZHu>2m3Yi=^NzS*DCd?*3+eAw~M@|xc zwuwS)NkQTwt)#2XBajbfHxw)HhnRCi4 ziEZWf!9~z<#jVE3Fe#m6-ldFkW}DxEF6GvlaW8#mxzIS;BF1DwDFve{!-S zxVg6|nH>2~*b|VJFz0X*j};-GBmc=6 zCqkSXP0M%@YI5X1ITJ*v&659w-2$0G(a4kkgdGAOX0`YmT1S?ZI_Pw9VQ-;Tr*ku=pTXT;5C;WyMEv>oaH?%0U<;Z`+Z)i~%nhElEef4E@}KY2eAKiQi9l-57y71<77C35Sl;80~F24z%N^8DtjMCDEVc5wJAQTYPDZOCt7 zhIYt3Z!~tp_mH2)nUH(lXdG6|1)qClfRuSO_->Suxeui`cd~L|zivnI7%9P{( zpW!48>1Xu51DUTg{W(Psc}SNXKxl;A^TwacQtgm?-uUwwigrU*z(0@;UyIIRR+%v{ z@RQ_OWw%4<)9Wl`?fOd(fe>=f8-E$05pvHP|2WARIdBs6lGld(doJ`jR!4yyES?p3 zZOFe*94qkJkbgg+8*6 zw0lO#J#YN?_yamKL+*Lwzt6K_cE~+%{68`60;4bg(Y>D>*rCEx9A%8FH&v59<@wFj;hPZX-_}Y-aw=`!)j;{^*%dLyyHnWa%*gw(YyzMmW z_}Y+vlEsGFSxXE_}DHeon8hqm#gsx&ww>BCf*YlvzrXow*mH8)HV8Iye38f>V6qrH#}|qGfhI@VMKNr5 zmCZcs>OVt^5=+`o8yZ_{s9&*q{SRxB=YCV;CAMAXAS0A!;nFD zjxQ4V^R2g0&zU*CNaP=A@l;rtyNp>MB*IZSzDVRR5Mgqw+I|Czt9oQ6f7;r?Qa15!MO%|Fg!S-R9Mr4+GI&0TP+Y-$>KF3QY< zf}Eo&n>#$o`avjYGO-)>s|DVjIAb`w zSi7_s{QZyACd*EcGh{21*~?}d2o*rwVTd^dyJ?q$s?@A{f?SS97>sL8gV{c z*$Vx>#Mmr|EMPV3eB_@rNX@NBgKgQ1)Rw(S?GNB%*?KQhhb&;V;0Gk=B`jdIVhj93 z+05V+LL-#D3{GX8?U1Z6wVHiY8+jr}I)?47taMI`duV8^a^1KbyEI zVLl&^Qglbh&F==nitdK0=3R!R)Az8o`oy^V-$pWsJPV9zc0axrpoC(PS zu9!uPKE^_!Vh(XW{7W71JYw`QS-=$wzF|3;iX+ZuIb<$Y97#K#tBwRdme>wm=64)1 zwy18z9H>}15B{pT;*k%Tj@?}G7@_XwiYHiYJ0yF!;;B!8Yo*I8o?(|4rOPX1E!-&G zRs?r!d2GRzUoaIN)r`t({*C`KB9+$>>Jh2D zfgNOrWEWT7l*V`!L$Zr2Z<&r3H-=;vSKf92@ZfMNeeRGE3CS+5ypy;&j&f}WwoR^vR`hif*)p?I;1U^vb zJpq$1doZyZzS#i0kT?_GMExS-F#MkQXySahiuo)h#+i3Ch2=|-zshI7>W8imk6_+k z({6-(q?-Mf*bcL}5@)|BcEc?!=byxx&}H8LCJv4KQYN;{H;^HS&Af}xy5>w|Ys>aA zwrn3`%l0w0Y#(Fm?PKz!MQ4>c1g_=uFQv|#j6c~zi?(I^7+bcFu}R=_ZM}U=ovRnco5Agw|ds*vRpco2@rFN_LJHmu!E@xh6+ibhc<3=>FOqug2K{rp%xm zFUQ$|<^p6k4uj4RMTYY%gsXh{IYyqe=xn3;bNHy5+|1))3jc;q$nD1-F~TPFT5dM6 z9j-x7V~UH0x_5_SR=6%U01BRBc{bLhc)#Pp(Irs?BtEsHxh-Zm6l+#2Gnb@^ak?Lp(w; z@_J^5HOxn@=ctpWYTto!l50eM%|0m1mVKjb**DsjeWPvJH`$bF;pSM%CY8~#9i?R3<-7;@j}{Pnzc z3<&Wg$jIMFpT>~;M(1y)PgD3i>TjjbFu9K8Z|9gc%XK9G3w=%DG|1n@SIkWPV_Svyb&M1!>= zlYv6GLqonqpQckCJ`}V=gsN1|!g`kBTMYzpLF0N-{Po8$qxF~sPP*BYVQuSeH}C!p(R8$+^*<=E{k zwTb1N?JUiS<%a*X3#6mdRt(}}Rr79>-yW&;n^z3-X}`zh_i<|dSt|y2qd(Vi zq@<^BmnRyj^*@|!3|0`Z zhV+H$Lzuq%v1!u^HuYGfZA=_`VG6Oi%h(mHJsiLv8q$*3cluxK*g77(N;rIp688DA z!)6q|7$(;B@Wiwf?k7XXx~}fg_0;tom`rrprXQQmLQZ$U#AZDHW7F1VJeWAV`@cA_ z8BZm43yT{zlVe?KrZnR{IItOge(bNRRxlXRY!Sh}~hu()hu;0wV$#Ys86 zU@0K8RX?(DB#nhyIx4UA&Z!F&P)Y z7m4K`+gXghIt^EWtpC=;riDhYG**Zob z2S}Q8x3e6OSZ+)#TkEzcu{^n5n&pY*58GMRB$lre%hvXsmsl$Cg}8MLIpjD8rEWtK z%hoivB$i#av)rFp=5A+sDzT6n!E(0d_DW*eu$|?t#Byt5+1hU(B$j8lvwV|S-rX)Y z7ne=Wkngv%;@XvA8GyiPhkG~ISZoD6NSj}>> z{!5>$GY5BCxcVWQ(=6xehg?}(>pP6WM*&Rj(SM}%oyOpG&@Y5upW8-qqT=4-4j4}9 zF_?*=+Zg-`443v8DigyD+*#p|U)AKbpyK9uoZ04xH8MpfXB~eZ2@gJ#; z^#878)T-f_7z(Y{!=iPZEJL(TQ}cL5;q4#G+zG}BNdkVUm2}hpL&u6Aljz(!eN8HT z@iGnTa$1jF=fgDDmvr?%N;9;Sh7~27dHA=r7_oEj0HyACu$dcq6Q;-R9zAyR2D|9l ze;_|Sc30{#nqiE11Bq~}Yg90ajO!+Cn~4i8(hTg;U#0c9nSBsxCZp|RVB=tnGlo2t zsP;@$V~rs(uDR3UwLq)VDcGtp$Z9Du@v5uMmSf<{Pjzgz%)qS{KiT`PvyI2%jNjb zk6K~2w8P9#b-`@82ReT8i?B}|0_?VNEY>**zwStU7m{Dc&FlDgJ_hr@{^V=2S!hag zwz}bNY0g$kbG8noMsv1OnzMx~I5fi9>e-vLtQ=>ztvOqzJxn!cs|nuei*QJ3&ekq~ z&h?ZvXKP=|_fgiIt-~lki$ht$*?I!l)toJ=%YTl;zwq(a;ZR|uKfym&bGE1!dtcN> z?08>I0lS*BMYT`H(jtIN!dUt>5*b0lSfXGD31f+Z8zhV+3K>HsjHO}BiHxO<%qd|k z>6{YAlH_Elm$PWjY36eJlhngkzB0nJ2rco4&|-kpBmO}`>Y?BU38{xdCZkC`#9<~O z^-#z|K>8|4AL}+%i%=6Jq#nA}8Y&_6+<=-Szq?zZe|NX6epgf~w7YPuBp)M1K8D+L zAkwAC$8eVrD)KSP4>sBDS=+ttJhW#nD=>L(#a zO3n4`0V|dF5jp%*W?l{RAIdBqG2_M6|=b1Fe>L|mzS3Il1$MEhG$7=8~ zy!#2=hJ3!)qe)F}$ZomZ2{r z@-e(;=KvQY@-e(ErvNubm%s$0r?o-u3~8p$j9&|TmOco zH6S0u+f6KO0r?o-9#)74%wq%cF}x`j&&T$Fd<<`@xOD{NV|d+S=?rXUy_Z#mG+hDt z7~TwV>ki1r@b;GG%m~QG@RnQ8q0pIqIfuOyEza9cvoHA=-bq#!q%N~B`54|Q)|$NHi%9Whc+jqbosszx9M^bPuE>OOgu;9 z*n2j{i*sljPpV3Ta}a%)igRnL56U?W3b`C|=E!MeY5DPzj0sLYhS$&Bh=%nOK8Dxd zB#BObI?QVjYy^Db>J2bij2)1V;SDr7(k_Z&yF;6K_7gsaH^C&+E$EKBa zKg;0lE$_3$NwJ%N2V9Slm@lvua6Lw1L2NrR79l1k`j?={*xSlwqjH>Uy!f-k6q$yd zxgH~NT#Oyknd>nU3u7!xmHMsWNLy{V9wT&^(uH$TMjjHf0pt3M&gjDFVMb{$NiM{9 zE=S5p08(_!%CT8WP8P2(Ib4sCaI^jn7^fTXD(;P*5876N>-4#Zhsl4_(+Asn6Sx&-pI zGXBgYR*L-Fh-&&=*Z0BDPM_CuJLn7eX3sx^pjE&Zd;U`D>=f{|jlYcbD=y&6JO3Pt z?_8kHYvEY2?*HZYa30D`W^ID!mQG*K9c`eT) zy{15&*TRa`p?~-g+U1YPDC8FsI^ZXCcE~rMEA_dqD*06;=i|JV{V@XyxKbb(K|xjl zR|*892s;JpycWW4fjX~+uwTG8V8M98VF6bP1dW9A3)Fcngwq9FDG(e;xDZEG5;^Ga zC{wq3uz(xP{~w4$mc^9S3n0QW55N`YVtyQ!i;o!3IRsz9CB z@(wCrUBHzB!5xGL$xsRIh_xQ^3Qe>x26!r>;Pq*FtzyfjX~+ z@VEkXUJK!d0l5<+zgCF^;J>%R@*l*RXg$+28a?()50 zQYM+p_kzjs3YHb4_B`N%uQaJ7rL~anob&n@o*(gYvdn=Jmf>gNkiQFtmm3s=2&4N_B{fXQn$RPJd zB3lHh$!#2iLT|M_bbDRyC?dB>6~^U`fFax%#g?l#+DL!r>Wwx;TvuzAwqYaVJjTfUO zYD7T3t2f#(C+!Yq&Tz>oboE9X<`n1ZjW$HOS-#$Aa|hHyIM;zl^ft_aYGE#}hFDrQ zda`93Cqi4}L^uruDd$Xl=Vv$(ICZoWfuOIQ2<*86I1wHJc{}AqxSF7HA~4lTu-sPw zNsne(?cqe&1vIUk2#b+xrIi!mcSw$$2xQStgcAuWCjuvI2kk^S78e3J@H5eepw&^F z2o&g~l@o!mn^sN)!hTvg5eSDJ#fk81aOR`JToIYb!`D)LL{$`|od~;;lvYjza$0HS zL?G;>l@o!mn^sN)!hTvg5eSEALXrjEq)^C(X_5eOo-6fVYznJm>w zD<{G#q`Tt9NX%lzm!okgDse5o_|Y;`&W%9!?x39rPXI|PCjvplLuw12g(4XeI0!mu zC&KPH`-)Bkq3kG51R?AwP6UE(I>U*O&Tt}hR8EBTC?iZOCj#lyY2`#<#S7EQiEtF2 zyNlDxiNMw3-O|d5a0lSBv~nUavRp++uM(g5!cmD1R}nxoe1O! zUF}3D#sfpXtDOkUsdBXwp+9n}UF}3*&LCGi5g6XA#??*)&c`}eI}u1W%GFK;=8SW- z6QKb-4X$<~kgU7gAy04WfD<7L z_0yX=;6ykId2k}6oaqp)od|Kl*!YbBDNTMp(2OP*lxl%ywoo3A?@kw?JML&r$t2S` zza5ukjo)Qj!Yvgq36u_+TiFA?L>GE6;qo!;6xb2Zj)(SBCzFZCjyIc zTTfPY9|5}9p`E%!a^X8u681fLP=9y?L^>InB!_E z0+AD3?L;85$kk4SE1}I2S340l09o#8Cj!g4*40h~@~m^U6M@JkS341iY<0C0fyfS5 zI}wQNbhQ(K(r~mfM+u9R2EozB#96A~INF%_T?TJ&<RV8V^_~Xf0Iv0;4_KNJRaoJXJ=}ICOWM?f$WRB8JL@vzE zx&lb|B|U+-c>2Tez{hSL7A6RU`oBPQ{mdIKC30voW?2fLGNE1McP+6LAg<2BouE5XV1oL<5X3 zL0s=O=4^@00U6>CpNOI9+!{L;SW=rST$`Jx%oUarj>Oj+__XQ649n%)i9BVlFj?|{ zF!>05@d@*lxx(ax8$Z$RgKM7G9c9ITZHt0Sone7OUX++R7s0dfLh&;(OZ4A`*J>VhF7f z0r{S`vY32Hkz!w4SwyQp(6$sikhatgkZW6NH9=)dG1Us+#%Oy2ko2~)r9K9#Z7JHl#+JGo z$$EplyOAuiLEgRP2!hI%;=nhy)M2wiIDwOA$7<6k%ga5jM6IVPi`XHntSu3|p!SPkGvw zB4}(W!p4@O&XFxe1h!OoI9jX@L{gCqTWT@oDO-x5v87n5v8B#Jy0N8LaoSQ86<&fb zezeS#b0v_-mbxE^wxtLfTS_ED0tbPyr9Q)rS=mxTscb1BRJIgBV@v%Ve7?4&2q(2I zbrs4mwiM}&Eyao(TWUHUKa4GP4j)CdEwvG_v88x6xv`}v)7Vnf%-B+tZ)~Z@L2qoS z*8m$^ioC{_BE7MtPK7?kmg1`?V@rL5bYn|#HW*uqys)MCL-Hc|Vx@}qLuo}!M{9OK zmdmUbTZ-A9wxxv1)3#LK1p3y~wiFT9)3y{5-_y1fc|uRyQu#hcs;6x!=2Uswmg<3= zYERoz%o*fqTWSb$YCLUAaX!|0+Lj{OC{No`%o*otTdEE`4W728NY?0STZ+}0>}gx- zb1%b|;<#NCO-pcMw1IlwLmjf zC=bVXr?b%=8(UK{$#l;7q#+l_R*N*qxD^R2|)Ot62qwag#O&dyUN7C?+;6^#{v6{V(RUr#KPPU z%JC~h85==K)U$zXorO)Oj37;$%#;La?97xlcu1C=qi9Jb=Q46Vk4a=w${o@?X0u8e zsZaGp>RnMP^nw=Ue`V^1C>0G)-4?UlYcpi_?7>o2Wu%lXK{wb7>~$4bTP<9R;V7+m zZ-}I_>_)KkI*ojbL_Wb@{VDlm!LI~fxe4r_fU$Ci2CVY4$?_|k-M~MkXz#g9on&(~ z@J|)_3X5FHA}0y`%`D>3-oPgdL*e9y2l3X5RYMrEB0VPywPrh)o z``b&9!m(#JS0zdJEfui)LR_Djf=es+-HY(s{r=3KSM2Hs_#j$icrxrOwMRP;o`g8~&jk#J3* zq9+or3l?I?#vdj;Dp1iA36GQ2yN?iTu(DM2#1vW}$+gI0nCrF3q_9H07MX|>>b1y3 z+)%GYCgNLd^jc(^V@a+>UJ4*juSHgp9>bVM^hA+lwb5&lXM(J)daakU;dek%@>(xn zz1A~1TOMSNL~%>0C~kVyaDjT^=XQA+1nrzZ<6EM*$*SSpiYd@dUijsnM6eBwR77!G z2AEOYy29GkQQW=(r;g&rRJAOwA0QboSy*44d;Mgf0bls#-awzK74U^$?gj_R`h{Qa zMzY8Yzua4%fJk}a=e0Wu)Fz4>-zUmiIlP zLtmn}Jq00*;x-?@xkuyc4SYmZ6r`iLO~Hf?_`=T{Oin9MQQQbSfr{cr*bP(^H^P3v z7k=I_!eOAIxDn0|_`=VtC7cfU!q2NCTo{ZX{RqO5k?QSxIZNgXKW{&RR=^j2UISqh z#f>^!CW;#o7^%6Bp~cpNNGcK;sowN=DUVOP-VB0Pz!!erOqS{deBtLE_94>U;9Ayp z7AwvuZWNXK9lrR{GTS*eu5nQmx9&g!zVP!F5VQim@bmNwzjpG%&qQ&Xg6A_|qPPjA zFHzh?1z)1L5p)B-@blEF;eapvJhf`rS5e$zC?gD16gSeR1HSO{9%RJ}0~N(>Cv1=6 zfG_;KKYjwZTcD!2wZ)CUEZ_@2?{S9Ts_<15H_EI6%qVWuY!F~Zaijd2Kt*xu3;Mc% zFZ{e0>H&}RRTMY!jtcm~&-)YU8v+%@?Jelj81RLk_r`qGdvd@Re%@O%(NR+azVP$j z;cS=|@P(iEE_w9}Kav;87b{hC8)~4u_3fM`$jW9`OB6R|hcr?V#f?I&kVYzEwekIv z6Vgc4h#S&K)rcR`NJSJk@`NFcR77z*6;H(ZA&pc-abr$ZNFx+k%}m8Bx?+5q#}wN zt1~&Ik%}m82Z1b#;>K~?62*-pvn7h#b`Z8iahrjfw?uKbDvN?hVM=vqdPc;GANm3I_FE$kV`_lMI8tkX)wvEVU8S`Mtaro zB!lD1s-w8|!hnjRxbbzh3cgrk6ShJcsfgmnVw{jhDx$ctry0eK^={`-W)#Kk1t1}f zR77zjY=tyZ5yg#2Jk(L#Mk52OhWYaj(zS+3Msa%=qa@T(+?FBV3Uw4Wc9av+NJSJk zQn?|GR77#(g|u6EJIBCG>D+N4jZ{Q&TL}KfP)BiFgOa9(G*S`8jZzn#f=?eqPVdvRq6`E5k+xhN(twp zj82S$%K*1?IPFR}JZD3jm~Oy=oXy+&FS&)i9#CoeEe#Lij`4dU%8o zBQ+&PYD$dMlrmDYXr%hJA3>gB7(I{--{4UhacS_P&9-Eju|IBaqfXj@7^9ff3TxJ|xNVqECGUH%1 z;p%|PjDw5sKv9DNE;9};y%gy+0hbvEmv2IP9XgcHmnG*SqljNfcnt-&a~1<>=kSqH zmKg`XWwKY89LbQT0YkPWM^l6qFl1YD9APJ5$hPGEgx!E4+mZ(o_5+4&OCCfRZH#Is zrxVT(7_u#SC}Fhm6BIi8Mjz$)$zz7H97a`29?N_y$m<7q9$_b7$hPD{!mgFYkZs8& zd`<18ljrcUD7dIMlFn6pB4dT(>%jC2gfI9F;Z=nFpcmnb2#3MAO2C&8&bP7xBA1S# z?5gCwUr;u?FnK>g)rH9i*d$KCaB#_;y8stUcP1ZZcXpHROv=N3nRH3=F?I>V!6neT z?VPK8v~j?Oc>Fprw{uvu9%Xs;18_l1iAN?SFU3>xQaqLMQao>L2pU<`n)f$8NqHsofc$3aQj}QU!+7`J^gwnfGhpJxG2Mu4z!_U!W;{l=<{8 zVQ%ANH~%@3k}p!uj-<2~DeH}tb(GTc&*c9A7dOkAApCph87)4@p%#xt{2%2|3p!&& zi+jjl-XEy_U=FVr_E@l1h{6vFsdAP)l(UJ_%QshnWTm1!J)&HhL3x2t_ByE&gcs(p zE3C>P)Nh^=0UVD4sN+r4Q3{$T?3GZ$s(gZcNBxNO`H1u=A)P3sM^b8A(FL4Xz)h*V zRVb-#h4ciF_C8)wGS6;8qjL;ba>uc~F3w6n0bjyyVV@hZuZy#xHh{gm1P@vlXWmP| z%cfG?jl#JX)UA@bu9sc3vDFCl^hZkgw8mV zb)OPvpQwx}I>Vxzyr>&l>GGUN^UBB17{W-iInnL1KUH7`cTo+wJCIc!p55{MunH z+oJI9a}{L*_)y{x^%qVme-Ln0?kOAe8?kzJ_&KXtO^D;1Qv0J@G|? zFCur#C!qNDl!;jY%E$FoX|d*e(pNZy{F( zb^<&EtN~POH?UDa>}FmP2UQ~JILH`01i*CwhLWg)%?6@5hU7^WV^W9pr!=ca8dOq3 zsRpd!e@4&p6W$UVemX`wKfwxn_-@QfeuDGt;dJ-&lQ-BNUW$Bv+8mH$U6$hQl4mVx zzjYIaF8$VNUX1bg!9Xyo1A($mZ9^eQpxHbCj{`cm#Q#gXX@2or|KhjCL;Np(>tFoV z(di?<_^omJ2z`#f_^p*k`xn18?7?6B)*&1`_4a5yn*8Fo{>5*N=hR>P*1!0z|NDMx z_=p)1P5X!m?#V}d1k(SnI{YvAt-}_-b=cy!4wc`UAr@`r44Yhqv&K=D-Mkqt0Of7i@OqF%$wqI$cG`V ziuf{%MJ$Dg?q4fP=B#~RyZ&lDl4@RuZg!Lnk zR&Zo!O1r7-5b~WPd{b$Qm%c&C6;VUbqi8n|#~o2MM1=_20aDerl|uP0@Sf7Py|dV; zh>_e2j8+pI0>$91RDRqjty-t8uA{TqvZjO1D$S>6nqxVkUyq939Ah8!83a|t zd%8JB@97D|#e2Fr#$k91aHZN7k@N|0rP{4As+P5SJKyzK)=?-^6e94DR_JuN{Fpf( zX_auRF2MKx@@BboCmZIdNV}<^vYWfNF%5H+Xg74QDg79sTn1bOvL$pYSf#JC(c_V* zts?5ANK{-zJrap>g>ttMMSUYt4?{W@l^RdyAQ`ubwnnQ}HruQ(mUk*o=PL1hH3C;| z)Qbc^4tP)TbY3RQzamfP<&w?Sq0(e$pk!$>zIOT=`a%tOI-|S@uuAFsvk!^%njlr= zNl9uL9U^)?O+93aP8Z4!L-){HeG1s{-0Bx<0v zN<#xh!>po(ENZKt0j&Of*TqkAQ&#^BZ(M)A+2SX;F{^)uXRg2T%!PyP{+XV+`~rIB zYVdMad*)uj)smjMMtKj1*cr8`klK&x{Bo@NM!dc4{Qrq#)j#NmE?tZJqa`P-XP1A1 zD?sZL)-$)JW{*$e_LI3aHG5*Qr2N;mrpD9U{UXPz=Z*xQ>`#r$W7i96#Je1IDPQmwdC0#Io-Ugh-?(3%wq(n*d{?L zJVtPe-7H9z#|Tcb&4N^WjNlZzMUX)rBRIvj2vU<`1gF@oHXUkpDMoOL-6mBSmtq8` z*zW~t@EE}Ztru=WS%p9U^+UYD`u@8q>4fy8$QJ zK5v>tEKPj|u*(F=`PSs%xb(j>hY6CCj{-!HW92%4T_#A^RJP=_VFahxcKcfNR@)F; zs}~_pHdn`PmP2UN=IYpHL7WsfSI2Ge#KZArO$y&DF8X;)f%r zfSapxMswUGx#ni0DLl{0y$WyvSH|azVY1{uZt}4%>~7|BWqi(9ChP6M?aX=EpCYZj z^G|%I8Cq%2=VhCf)*Ol*u+n-cUeI(@=VgZkxg9w7cTBaCyrS#}NSZCn+6ybK=K?KM zE3NxOf>o$iS{EWYIxm|n`n+sLip)4Kdv6J?+{3g%D*H`$6s(8<+S6UyBn_Qt z3oG)_&+5GFLbcLbN-9(?@v^EtH*F&dcs3E3L`n7OIuj{{)|3s8(7NPIgi&tvjNOuu!eE zCVje4t+Zyv3k%gs>(>*wRu`(3)^R+Jbt_aWt$PA4D^x43aR8WA(Mheerpzk9Txm_s z1_6%F%Pv$at@j0eU7=cOeK6pWozzNe@{TH0E3K(dL!nw}{VnuqEL1D4xleR*p;~FZ z5FItOP_4A)Y?xN4R$7yHw$+6{B=0I;tW?*>P@AqyM{8bztXyWvI?OT$=Vdcnwga2< zvSm9k)>GdKE!B2lji~Lw8d2MU&3W0f9T+REFUA;E+kwq_*|HrNE3I!rj@k}v&dZkV zz*uR0CvwzwV4RoD`KY!7oAa_|J21}6W{%npjFr~+fk$lzHs@u_c3`ZuW_8qdV63!e z*G~00hH+jt$L%=VXMARym(7vcVEY3&@y>`n1H#v1zCJH|0c!qc%x8RNoR|GN^03mn zz4Hu2>+Qg~gxfnDkkaG`@R!--g6bxJSt#Gacb9BbfnzA6bC_g0$48b(!17oE%5w#sSIxjo98yf9Ky4J8QS6YA1>w#Wr&5OR; z4vh1%*->gcFjiWVN^J+mN^4$7)plTWUbbup#!Bl-2vFOBabETvC`oMx#!72W1+^U* zE3JvB?Z8-RO+;-6#(CKR`ciEN#!BnGfvD}k=Dcj#4vh1%$)mOdW2H3_wH+8Mt%<1Z zz*uQbL~RGgdD%qNcHro|>?B7Ciz*uR0G2r%&JdryX zQrP0lVe8lT2lAh`_~wD8;AF`68z`VaZSf^%k4bofMR?FHeazaJo(!yNzi;1$6f_Vl z_2r-|-wyOZ09MZjIwSEV`wAqaC7(C2it?_KO7ZZ6^{U5ny#0_(lz&)H0zsdHuyPt4 zTgZ6@%8!IK-n&b_P*|8}mi@S0)erb=WT2!%>b4bxMnFGYW0ZicB48l})M)|y!PefY z@c^z60lk(`i*-NJVo@Yyod~&;Lgr~9qQwTgY8mi9DJ>45kc%?3xHA%PkqG#h0uI&! z_+wvTR~-o;IgG0Je1QU%ihx6z+q?ihs;aS61oQ@7<;B%P#TIJ>UU2|yA8@g+?MW4< zsa(PK@AF2Ag7Jq-uf5#v0eMxQBJD&L*td($EmOHw`vboSIaOl;+yJ28PyqdUZq zJ^=g;J(9BCC)f=iyLlQ47}5cp{F9^)2l7By{!z2oq*k3Ec>rjYw){Klp4x&g6zi!Ax}++S0e7m$^8Vm zH-VfKGQgg#x)bQfikn-A?QK{G>CTa|*MdZqy^Y*SxOHj{D315jl5yk z^#gi`j2ocC*)0^d9QCsAsXYr#^AgaTh~9T5WLMpcq}d>?S_@zyfPR+(s3ygLI{}@C zqybz7xq-m50PX>RrwMitR_eQth^&6>AL*NCBzq|LMcZpOp)l1o?B~HUtk+Pxe}HPp z^WY%`NGPCiN^(htRbSY$#;W;>7M=tBaBfHnGajFH%v z*;%|;s+=#gnKN=5%lR^!Eo0S>p^Wzg$>LQ}QNAaX*63oA{wX_)S1OhBC!KQ;`JTqn zT2ao^DyRM|=Im4wIsO&jPR%z8vnF;&b{5CB%DF@5EG$LNrtB;ZMwPQk<%}I%jhwYg z;%FS$2lq*K7ALG~$_pfC%rR`rRSK4lSuLT)QQwb9NR^&I46&9}3x{c$aFtUNT3 z_KTj3HyQMc;z3OQ29JiU|GQQ!a-VqvHDR z8I+W{&pc?g&)l4OH63J8_~`XOel~pc-5^Lgf57+8gpW37P%VRE40)D=VXi}-y$((t z@{Fl!`(%4SvRO@qJR1ab58KA zz8NjH0z^`gXg7Ic`lFO5O*Vs|33;{{pb2^QG}2ATGgh1-&nPPQFZkj|%S<`%0*OMN zd6?Kb4Os@{ICL$g_5!HzChT0h^F#T&Y)sW zbOzN5@I+@&kt{lciq(nEpc)3UD10=>ZA-{Aj?9*jXU!mN33*nJ`n7~S4F+Ho@@ygU%^6gLqcf;jOmqg7bS{cvy;BZlMj_9*-`Si& zML0Txib!+@)o5hsRe6sfU27<3P(6x!wmE}p8OSVi1{FIhI)jQ-(HT^{kVa=vQPJoO zs)azJGpLrLr05JPPKD?UDk9MtR79dPs9r@m(HT@90Ey0^VmZ+nROE@ypdu2TK}94w zgNjIW1{IO$3@S>)ZgP$i7AXya-Q>hss^EBQKzx_M^%+!qW4M_!s0c@AQ1t?W-Q>K$ z5EL1DH#x_-#wFw#r=baX#ttzd&sdf!mEJkwh(ew*rG#@)Mjpn&DS%TBr(FrBhZ&{U zN%CrZ=W?WM2Oxr4&Y)CUhO(PHl-=Z^>?RLe?IwQ)G=^dHUhoNp zvYR}dhX=}NH@S`U_Sj9n6u;@)@I4WjA>!yUD{=yU8!eU^x0!GCakVK;cMy+mWbWXTv!Zz9Tu^nGkUcn%7O5tk1!t(a*;dPmcX781#8an;>4FheXcO zu4pfNdY1hwAZo|-^ek?I?|wU)5E-QJeh?d2!+&T=x60ZvW26v)4owcUc{N1!kj>X4 zMbzRtB$vHSzJXb6QT}1pf|SuJ6zM=AT~mkTvKiFr!x)D;|0dvLH)q39r$|4HQNjD` z=spl0{5o>VeBvQiZPqUVaDK)&5TM zcM_MiKDz~f3wSSTx-U$`2*AlzEc}V6@KH7kKRGJ=2`RjEB!o#bKP8kW1Fxj8-gaZw z7jSV}Wn9f;Z;9koAk%KXHIlPMmcR%A zn+Ws=a328FX))VoVx-$Nq}k0MM7m8B-JS!j(rscZ-880kQ<#stz0$2oq>cg}>GlkD zJ6!2D8yTY8QUcWNG6K}?cL3lOdV!{cp-ZXlO?|c z=7{7YtRIj5)?xja?4{!u+DicV`;13O_SN>2V6yztL@pA<364SA#V;1b4Y=Gheu*G{ z!0n{*O9cu2MzCBaa6aBLW3goXa=QnMD2#t0N0=c-V1pI^r;sps;5ZBCez82q--icg ze=UgXPbBh+Pi0`&nvcTeaEH*BN`(O7S zNOWRSr{MMyAlZUAL1zrIcsw>0RBpg^Q1P4?+cO;;*d2%~WQA5PSxF?#hghu?o9s`L z+}QUliy`X2M4}E+uZmjM83cn=Q6@w^bKF3Os3+nFIz&B@Fo+j}r$%`410ABCIq6_9 zbA~I80v)2BImISKJ&|r!QirHN3fkzquD79M7G(e2L12|2NIBK`&h+HWg2aDwnm9c< zr+{30awZbwY8Cf)OjV}Kd4P0_@gT5`K(#05T#%UH^@kui^5l?3dvX|GFyl0F6TH3% z(^q?P2x?Cb1)AXXgiY{z!X|hPYz`oPYyLRo*c?Io}6<*Z#+4_1#CPy7bhA|4jlu=lXE-LjVFh*!FY1W3r`Mz#E&3ftW;4hN-JVITJvQ{%4SxJCx_X_ zlOt5dlk*ueB2NyH$df}P^5l>w^5i^+XWht?!<@*I^B!^{PY!b;PtG^Ui99)+kC7*b zWRWL_IguxaCm%(g9Fj$z99Adtp>M>-e9u-++$G9yn;c`kUk zS|w3u6OKGNL?Ta4BQoI0;m=T{YYoMdQ-dxro}5+4k32c-sK}E;s>qYW3u)xZITtLE zC+AEcktgRX2#7p6oC=XAhe+hfArg6V8cfK!fx?_7?Q zasVQz#goH%U_3c=gd4}q5FV-bpFBAuLDN1AYU!)!*m%@&IPdxaC~YaH%P7K5x=Rl< z%UHs0x~LfNzJz@%Yu{2J4fDWj959ofW1%jdHLWm)M@e{t+5-s=*xo{vtk1$->p!^^ z{tO1~Qjn6lcqTcXoTZZ?(dL=qxIo}zH}8Yg?)$0K^-RH*uuo~h_7tMD5S$|1Z7JgOj9WWXmN)=WYk@?xt`Ltp&^ zPnV_J@nB_tW%sN$t9f>VySqsG%BCc{QR(uPOn>L%>o@Di`>4e{V1x@z+Xp4} zDyM`K1fK)EQd;ap!Cz(mnWR6-rrhK>;CZC~wd7X-N0ah7xp%uVBtKBo*K+v^-fn&b z^i|TL52yyM>L?UUx10Ki7CWg@9fb6$V<8U_ok>)5dYI^oM8%!)N232CdMb$@A-dl_ zKt;hvso!>@qTgf8eFMj6samqAjQ!Z=FQ2p zd2=#t-keOEHz(8P&1q%x=Ipj_MSVRtr#v=V6=>!3lIsWx$H8nlm4Y}{@&xSm%jq5K z0xGX8r+@5qLq1TE2Q*uAGR@YU!IGoQ)|?@ND6=(Zs36L0&B-)dbB0NdGFx*p&DNY+ z$x&u&PMsjyY|R-NYl1fV^6zel;kMk$Y|T0mBi_CF{S2Zl-AN=d@55Fx@AV{RXxmI4oF5P zS1BZ}-wsr4+r$m$f<)Q22|0jU+qQ{~WD(moaZ43J9?a&q<0MnIt>3!>_iM3j{XPW6 zw)Oi`pt5ZhtZZ8aE8AAVV%z%t`ht_T?W-sw_bGhUBSBO(wyi(#ZWct_)*r0Y~dYUq@Kkw*Cmhk!|bm`yETBZR_tx zP}#PA17T&``V*+Lwr%|;BD8Jkpz!eYBo&ElTYvgKNK;KVgP>Za;Ll{KYL$Y2*hHi& z+t#1Oiqp2Gs9e6O;z!F&IY$7|wyi&Z1rV`q{RIS-ZR;--$&k=u+xpw?jw{>Nzg;M4 z+xmA1A#GcKJ3(dJ`YPtV9L(mcnD=rpn}7GOP=>N?{T-xNwypmlE3Rx?|DiJ69F%SA z|B-Q>m2K-kwg9lQZT-hr0amuH{}g2^+tz=Ynkn1Xe}?jvZR%VX#U}f9- zFOyf0ucF?{w)Nk75b4Uc_21!aP`0iAE_tbnP-WZtr^PsKwQcL49`k@{+txoLRtS08w)M}BJ%E~P z+tyzmD?^^xwuMMGwk_e5!vQJQXamrUCKps1Gv#y@%1V59YC?B#3}sL<$#l+C(vXW| ztAz&ToQ4FkZ8>se8fn}1c7x-}O54^ivR9*G%C_~p+B}9>Y+JuXU}f9-r8bLEwyodY zW>32)hV@Q4l&Nf6zgAAh7TeaZQ>SDHHm=bA2tkx>>(}!QkFjmX?n*5W!w5kN;%55_2&qWvTgmN1W~rFf3zUV zw)Kw@MA^3fTtSp=>mMu9VB2z(NIZJh9JVcSmMUEc+m`sr2B&T7pA@?qxY)M-e1Vm1 z>o15g%sgycUSJ4{3~k$ToNK)6XZ{qKhGN_L$HmwoV%z!)V=POR`l;cFY+I(3@Rg~I zJdA^Hk&tpYAxk(t%qZ;wIOVj#cP>ZDAOLzq=5Qy5pOeMwi`cfloAnpK#>^bTojyNr z+Xl6bplRv)D-`t~A>>-qHk<`sOlTCZTfa^H zmq_|~`@PNBr$8h2dz%LG%94OK2MYf^z?G#&;WE{1 zE1No$K1eBi$HJ>Gz~<6tSx#X_O3#_3`8ta<4MH;+FR1&zqf*&hA47g$i{*WtMLfA5 z@X;;#Lx5L(Ong@sMdDNwc=yC(_KC()oT<}+cm-~a@X+iY| zbODf$!tR0;6lm94-ZST9bApH^aON($rxIP6a=xU`3LH74X9(J zJ`z)^{6^GI+T%6@U@w45dBXtXz#%k~4KT|9Cm3M40k#<6UISqLiei7ks2@lFiokpW z6dRzz07Eoj4QpgG{)wHzzZdYan^}?J((kWxNLHY><;)eS2EghIJZ!T6L9P4WqLi@|M0=><%`AdV5|eh+_rxj>LP%WZwi|>OX17 z2P*QUeyLC1=-hcCZc@M0C*mjdOMN0?GF}X!H6kECsbA_dC!HM3oZ*sFnA9)znWMZT z@jA(AId{Gu+UWac&W105{M0*=bMvbiL|f9Bb3fx9al2H2Am#MMcZ+xACno~RvGw{y zzyidnSOjwMj^y4no*=y=xxZtoG6$9ek|m<_j^tj?0}I7FqE7@&t2hBB1h)c)j<=1x-wSuMa`-j_4Bs(-|iMrZXZdr&XMa#h@1Nh}Vy+3g{hq z3}xirhp*`HLgO9r25w?OoImPn8_It?C-Vwy9=zu)&js!?H-VwrB;EtA*T^GZEW;D5=99^Kv7s?`h zcdAEs9NC(ZNv3o5Aq}}Wwpye?#<55c?+8bZOe5_bS#NMy)bx&cMfRDfW^^JTmwP6; zUPv#J56m`#ttzj0YIim@{Ta2gsWs_ zcx+T(6U0pxbp|p>5I^~K7a&6g36l-* zYXsv2$+v=Qi8a_KL9|vXS-+b~$q{U!9KjaK5o}?`5p2n^FM;N#`v}Nc%Akz)#Zmy3 z#61*G_m6mZ7d?_zp31oykN0I_PhVrd4JsH+WnxdSv0nix49gxzl6tMk=`#_{D)zI& zPbMz*v%ISfi_f9QBdzb5kcX*kT>ugN!~$QzXIWT)R{2-Rdjjd@ zu^}25V1O|iz@3I}4Sw>jcdF?d;V17#r`i}cT-1Fzs^wU(SF+dSE%^Pzm0`n$HT=74 zCNKE$K^W%$_H>*7D;9Ng2lhda>Gq z3Np$cMQK+FGA?*LL{76H4gN%ATrF^;m0U}nYY@Ov(0`LU@p$C#~nZ~&TdaoEzV}DdM23!NSt<^*L9u{Z6M=5HXJwY+i5*aVB75pC-XMYS%nrIBtnR_n2zQ;#YH6~hO z-~!xE|IaMWzMUnj#n}YS;%vfZaW-|m3yZWd?1jcc-5oE`89C(TfTiO2c?HjA^llGZHFrc7m`C7z~cds>{`1bVYL z`!K*}aW;APv^d*FhnU6Lm!RHeaW(_Rn#I|i4SQIeP4Xi7Vx@|nMQzO6r!~mRW>(AM zY-aCqarSm-87xA64KO%AjPD=^TdJ6lok=Ei{lZ5D8+UapcG}(k9x82Iu1} z?oL>o-GFLFi?eS-zFC}2c+ZQorE^gX>z#5aGg_Se1qJBE*@UCT*+llVI6DhZTv|g} zob90X_P98k9ThFkCRMaJn-|jm!s6_Ep+U4bn^PfLoJ}NJoJ}NJoSlzyqQ%+Wfb4m3 zHhH4O*+inn*+inn*+inn*+hQ4IGdw{MM{IfL?g~p1&4`7yxQP;arRW;W^p#*XmR!& zATZH*fgva|^b2f`bB)X5Y)(V7IGY_}7H6|8Rq6)A5t(RADdAj{k%w`x4RFfgv@7BC zFr)O(lKcd|b2(DJ03b!TEY9Zj#VpRg5^!Xq^?^gJqvnQOdx7ibp4sAcIMVVmcr&Q)YY_oq9 zG-g-)<#-O%yW$C&UGdb}?22do%&vHfH@o6_-8Q@8H-p#giYIJ##S=EW;t88w@lT?t zL3&p_4JNZIo)xP@hw@RoeRHP7d#a=fX7O zXmx>RKnw#9;RPw5BNaBI3WE%GE99ICA2S0 zPCt*bS9eF!Oy*nZA!UGP5q8qGrGSqh?51BU0X&DWpWeXqxrD>?cZ5$QoS#06a^@38 zU!F*05yNP<@0L8B?><#IzhS;E=S;%7oMnV{Im-$AO7=>^p_09daDIC0D2TpJ?4UpzbS$>$jZUV~}zIDvE6yJKnPI~SLkZvICDj7Eu#;$|s zhauyZ0`Q^^Tc4tg#&JlxUCB6y*>@@#BbmNK$(X^ux=&=JcT3=Z(&XJ)lyM35dr!%zqD~(u8S_}54+*>J_bB6Ik&#|X`cDaC zrO7%X|KRzxkoVPXly?lr%-77f((Bpny9hh!wmkrUN7zk2#_{t#VL$D1%>0XRi19+^ zn0+h+mFCz3k(hMt4}pdKFwAiw!g#gAmoc1U;Yl4ZQNm(;W3BRH&VrjVTT=suOGghumRt0crhje7(axNWJh~97`?h=k$pbQGIa`6XMy!GP+e@!J}2nZ z7vx<WJKqDTjyq0AydE?31{d$ZOJ6T{?czIJRJpBSXsGPHqj~ zhVg3OBP)F3OO)C_}1-Me4~JQcum0dYYEHi>*8% z-@Y-DIw3>q1SM4@DY*Pqs?nGssYy$6FuCl~e0z5!sWd}UsgfkM*04zJt|W=n9vM=5 zYN=1N$-Yb3V_-I^w)`$7{U~kuT}s+gBq>J zU_O5)4>*cH`wwJh_4$Bjll!*-mI^m6HN3*49A1vAUgYSqQWcQaK+T$uEHJ%i>E7#A z!5+3!%(0t#Kf*?iNh941fvVB61pk2eX`I)N;O>DCnR58tQ1unixmQ4!q)|B6LaoXyt$v<;$OyH^0Wn_rEJ__U2~sAcT6PunmDJu;sy{*N|ns7X`t zm2!9=s(Of5fk03Cdp=@!u-^?}C1?l>d9-wf^pVs(a)42C`B9WI%NN12D~%=?&mkYp~2G7r+3^LY)P zD4ADBnG)afRvd5ZjJ?@bFNViO8851g zT5cw_7l$0B`~!v|aR@58*0R2cx3en(6#mU<+|8TX#<6PdC|XJMV16`3$m!^Bn-KIlo5Ak2ycgyWeoOJ4|!-1kjpu z7*a5$TaBnM^6J@M#RJ$__WrFIrU?UXj5LdD+i{+Jk~A~we#o+$FGKsO3&>pCmb-)+2Z1fI1|9Cb%`i3{qTlEj!4Q0h*eHDsWJBC%{29lmG|S zL;#{A>1BY7y&Z>Q%_30GJP*tca29MmKJBf@&@Te+u5*f!47nurQNnEN> zBvp8jxKyD?=)VQN=PF#xdSB+77W`7GFtRh)rTEKywqVC2fd8#jD492b_%vShFY_M& z$1B9ItBddfzrxkL{RrS!_W+&PeNmv}2L>bW7ThQAl%;W|XV_axCdCc%A8h<-7-e?w#5Njl&KYbQAz~z(fMCEAnB#?F9ary zzY_p1dURp%uO~is%zuUg2O@13*~Kx?VR`do^or!DI@jpuAGx^e%w9!=c0?=Kd(j=DXASUvOdlUgatZ!@K_-W>IKbQIG z9x|ofGVP<(S1%?r8*x6JQTeV$=oPJryq0A!$&R77mq zgNO$cbQg;TJB`>_Zd(G?l#xnbO=l2vFN+0Eo6E6>UkA(PPEzvH6MW?qFb#y=_E%ZA5q{pvOoi z?45vRXdo8N>cm3B-WS=T!59M6;9vlv0ZBy!X?`r?qQqTB#IfY$m^p(0MO+G?wTPQU zgiH)RQ9K1+JXOTz>&e2j_a$voY~fFGcDGPx+|V;9t=i+*m3GvXY9>tTfv%8Sx-DI~ z14^i_w52QG2OiA?m+hKJtVH)qyXK#$YbAgTB%}-^nKa5!U>S{qWXo=oc-pW}H0*~H zAp1f9(kLVq5i5}LV-e{DU#N%%YskrV6@VgskCfIT{)iMjQMZ~29n?&C4OzneDFL!) zout{Lnb007_^Ul+7_@&j*IY<2q;Vm+xTk5pXLETGE(fu)@t@7*g#=NNOt~S^_j8{# zs!su_lzj{Vmi-$5t%n3@GHNd(KFAGGsMP*qBVxS~araL|JiP}IujlS>M7(W8d~HN{ zzy7fnq{(PN(c-OK(Vz&#Qi*;9Sc$PeVLt>Z_^W-E7l0e01{{YpyLm;qX}}w#0gnf< z8h@BL^J&+|O3qZDENRg6BChSeZZq>e52fkCIdUJx?&1Y1Y zdY>xwJ_5vQ;GDY0fpcMQ92`>XvrXAo5@6Yz0Z7^G8Y!DJ8MS_ewO*Aw!iadlh+8W*6?Ha zbaim<@1u-^RYvX7vB;>6+KHDjyZIB4sq0g%kPShBa)Dz5@}Y{w)y6qD-*U~|yhvD= z_A;z*xtyC*!Kxn8-*TC^61egsz2kDOZX+&lXWkY3L*nAn{+r;V7U(N6>ogYvSUhM% z9s0`EGoH3IU_bCZdF^OccTJ?)B2jHjrBQ8-sCFqtE5m7x6m>iB)}#IwHx3@@|Cf;| zV^09~|A$DCUhpAZI`IdjWDH%V+gn^=Z@W;lml7cR5CE;&$0G%QwNJ83=10mmQcSbm z+bBO@ls^o_y~OI9FUtS;ns=`I2c!C#ERvV&%LuUS4FFmXUD9NzE?1lLl6MFQT^UX^(Il>M|Y@pZxYpe0dL*z)7-`2k%pUqOi^9{DnAP; zts8DWQZkg6cAu`4Ki9BdO@QpT{)By}uv@hY>Y#kDNO@pr_mitkyY~|1Ujead_g;H! z_o41!aER)=SR~s$I9Zq789?iHCryUx((W}%^=fjFeVk#R_7nEwk%GVBZTOV2mwOS4 zR`Y%@QPvvXwjLRQyD`cLR7UN6waEB9$4p)|@BaoeHSa&q;pANk@iNia3emj(jt}<_ zMyx0r?X+JX!}_4Gt_5r5!F(EhFo*N}Md0$3MIEa_ti}DCd0e}Z7neCvAxBFgmqvxm zkwSi8A!1t3kwOYj(facCKh&ONqpaHV>mcdtxT)z*k<_oRQTOXOYdQ{`O48SHs{1SA zb1{R!$%pAHiOa+D*YWkpkDsdjMtne&da=m%keYctq6&S~dmqvb0;Bk?BEYI$!Ta-_ zk#@5|VK<*1X?Le+cM?id+TAJItpYxT!ZPc5FuQtjB&ks(Jrqe=ERuGBQ@;)oNp@2! zIcVS7SJ-K@BROaTXtWoGtr{7#Mb4ihjb@9SZ(3{A;`}ka@CdcrH>q#hqh-B+Y` zIL&Cc?@zUBEfbm!-^zhFAif+@)TkdIQmo+LLRy9DMWen6y==dmZH7^`u=c@^YL<7iIgAbftBeNf)Df9KKgw&aqR{0c^k7h{ zS}Ye)YW2)=2@iu4T3OYU5MC7#rZNaqLRcn4HkHjGTPDLbmCfO5)x5>_f7+q?J0k&4 zJ5*Yg^t8iqZ`D+ZA4Uj2jtI}sAUt0Y*1l8+iAM_|8P&)g+21tC(ZX^r8bpoUqlImo zX*6`uftIR=E56xYs zGX58P-vVD%b>)5TJ>in%h7frM2+7TZ@Ce*IMTC$9M62Op5)eTV6am2kLWqdg5sDVA zwN@SLFqSdaPp!4qGS;z<<5$_BG9Y=ev5~Zxby`pr7ReF^uo%Cl~fmg}< zTuwq^lM0(A?~xHDa#Po&d#vO>RBOr-m!W%iJqZ5)uK(JXBOg)MSWbi^=fwJg`#x_ zPL7R}bfF5(2(eJKu7DO}-9okQ3NUBu4jkf|Sa-+A`GphH6^<7zUdy?3|C;y}HlfBO zwEjDn0!6lL#>>BHttb+<;m1c5NtAfDy-Q0e%)^L){5c@dn!TKcTo( zO%^Xdo@4RXty1)Hbe&eK^y{MZ1t|4ee@oM^w5&^n_0KKqmBRWvuo}0$GDf$ZxC?P{ z+biWI&nF`etIR};D+#U8*===V2afC?u{yg&=LX1ltqaMz(z1>c)}LF}mBM^XGS=OLg z7VEYt!%fP^&Y{R#+G0pX>AnJVvYalx#RmH&bTh$YrrOpY+s?usP(jnw?^wYD6ij|H zXlWY67nJFEMrD0l`V_M97YD@ljhTfD`D;PKJH<}n4JZ610Jvg;q=tV2sTDbRr_lG$ zMjs$gY#$d&)45QObxh~#H`XbO>9fHqo2*Y#rkx+TA`H1Y*3J)Q5r%vw);IN%eP--n<)ak&OE`otx}*`K(iX8XkD`G{nH;__)|jXZJ5w-;tSaajP;=%S%> zz6o5+XLpCrlLOacKCe6UV+`lW^SVRZ8O|+kUI6+6hVdNjH`)* zm{0D|t&5q@T&8z3-78+pYX#GWP1a6f+tCf=3arw!Ji~8`q)bF$djZ+QaE{G zdeK5kPTVseZTP*!etQ`37O3W7!tW*6O8A5)8jW*5Ns9wW&U8I<_Z4W~AUiVgs4wA*VeF zKIAlbH%{egm{|lyUAb6(}&oO1S56AwL`dY(fcGMoS*oDO*r2q)I5PojU6i& zC1$pI{Mt5heU7<`{IS9|3%N}GA2bCxnYIFPn9LTc8BB6q6ugeAgWo{G1e~211#waE zXAqdQf}DPWY50IyKF&a5gQT*)VbsyFYR+c~p0igv)`+_8R^4-hSY_Y69P0VFH8>vw z$KWdve};8u;*E%VHD6~AU$m;aMAbi7RbLcUt0*d}z9_2x(yB7?Z;7hEqg7k1D&&Mh z77WuuZV^?#wyL&>s+v!!RVIEq;$BS&&75FWogu1LTU8T8)#VhG3+xj_)fW-32d8%j z>Zn0(Z*Gv=n;Xn^#+owJdn{qKP7$pSSgi@s`WUoK60Hf*ip%!>>BN~_Bj1D{`?*}Q z_4!uVH~vD9HK6~;Qb*if3oQ`Va&VL5xO;CoWZ)eTo|ixtZ5i8cNi}Z z82^VI@jPK%Xc^CQ7|%0|HQ!-@lfp>*y8*F%e*qCw+es05hYlpxCq=AePsD-b;&jcY zXxo?VY5iTIY3fMT^yN6~;ABV|O<$I42J0A?%KUPim3al@QlVdtvqFE0xQs|0IQ7J} zDC4tZpf%HPn?gP-;MELc&91XX;d%;+x5pZ_PK-MCN;PVo7_}JjY0^OJCB74J$G1&! z|C0?}V>O$R>RGF~Ml|0INi)pXh^8!V+7(0ZsQDr7EVSBCm9TRP&h1Sz6pFSdt(}FU z?Ukrqyo?j=$1k%u zcHeKsPZRMMvWlZ3Y8J8BZC1Qa#Q)QZw~6>$S;fIy^OrQf#)_AScmu97826|V@ll`7 zR_VySb{&l$ADa&WGh~bx0dM-hQZK$?v(}~zH7Un6GUW}usDf)BXyseLHA5!yH|RJ` znjZ~eckBVzaeu|U?oh#dMX(mlVFd4>U>5{u$fFN;Fl7&-$IEl{UkV=Tk+!9^{~c() zFlg`fU{6ZhcE&HvF+R|Pc&X63VvVNxcLglwvz}b0&ZIW};(*vb`p2wgAjLYoX6FJ- zGhYBgDExd*Gaoi56n-wJnJ;o66keCp%tz@7h1chJt4g_ktekEy#ys6&F2)?dI-0-Z zFZqyNjFEeOYT&ACa|Q@NkOxIE}YlJjoEpLYPV zA;2d?Uq?8%6HPkkB$6e)G!~=}_68Lqocj{$f6&KBmMbzF5zv>VJ_8~9vQ$Rw%TgJ! zFH2=4d|7ImnsV{7)P2+xye!pd3SO2fn(WI`caXm%aYsCf#+MhLekm^O1@rLM(7Pm@ zQ!tmWE&Z}Ya?Kl6@0JL^RodVsz9Nw#L>j%sJrWr)XWz4uVhd^5kq8F$BN3t* z8jb^LOa;2&`sCm6jpODc5yELc5+RJ{BM}S^^pS{9AP@aW1o_P;G?V|xg6l^j_>O=5 zNW^&v>qjC!gRp)i;tquMBN5c8ABmu4`jH6gH=oc|Zy?1-BKYW%c>`(E{e))n zv^a}n-awk%5q}2e*pEceZ^Ms7q!0@~5>XF*!5c{NkqEvRGWFYs|H;~eE zq#FfqApIweV`Sy);qZ}&J5ezEk%$5`o4kP(ABkYtyn!_7enK-jF(!?RY*_B$In-%C z60wj9f{#QnY~DbMk3=wH-av|vL}1Z?2`-Q5EYJfB*8%P=Fhae;#d?eyN#N`d7$qVBQn>Uaqx5pnt1aBba z0K=fj1aBavp9gXINCdl~ek6hoq92K1UMANZIJHRFH;|GtfqhXt4}LHdVe?7s3G5!~ zrN;@m8h`WXDGL!0Md3#xIKJpdBHlnaSWOhn{0w*pdIRZN@TkCv`zes+4Wu`L&Nq-2 zCozEEhgHmwxlf>E`UX;l^$ny9>l;WJ);EwctZyJ?Sl>X(uzdsR--X5uS#=6B8|V$B zmEh4gkp7y+eIJXeA)jPW-#|*6^$nyfpT2>V>h%qz9Jloir2L$lzJZiseFG`O`UX;l z^$nz48tNNJ3qjX6kg{NHXi%y1b$UV^$WoI{dVTmrGelNin^zMb?_7|ty|k@N)&7swl53l}q7RQw?6 zOBo(fTtfck45RK>pw0?c90&b@BNXoW7etE2W54MYJ%ZF!(aR97D%y*1dC^3KD~gVV ziprudB7AGn|A77z&%YJ(P~qNQ$R?;@bX(zH-GY6MG)iGFgQigmzsSPm6z`@L|7QZi zsp8)=e8-o-QdK;e;V<2XaCPxxwED~BnN<7~>0cqw)Z*t!|0;RX#ou57zE%dFy5fh) z^VbYF70W9kzs^RVQTzaH`A=-$i`#lVBf<4ddjWYT!2-7}XXeRcl}w0@Y;h*1&EJ+^K;Ff&dD7JItE$ zHJY_LkHbXl8?d5AELxpMi>Au;gf)3Js0MFJ*&?uX7|tJ9&JN-9rd-6-a+CV5O)Yoy zR2n_iUn6HBM@6jV5!}~`1KAG%%Gn7|-DJ}jjMp%{K zY2|;LOZi)^{O@vEjDJx1XGK1FD&)T}@{^z=w(px({`(^Ttd;+P$p4qh|5D_iTL_`A zI`Z#Y`LBw6?q}5guZjF*#KnFi|LOu}D)L2=e=CqF|2~m#w(_@#{8=iWV0~5ZrTy24 zygBGz1NmuEU)KmfmImpsb%N=#T)ZGmoAfeC^QMgGK+0LU(%|2*sb@)QO)jbniFCi3 z3y+sDx1%*>EOS7gWE7CctA3cq%oJnLtSHwa?6yo{W=dhUurQai$jzemdRw^JlI8ah zuVo4NL+)N|UTz(9)NKXrr${u%GpwU|Ae$pxQ=O(d_OYb3VnIH|_g!l(s1*zT%jQ@w zIgYv}XpSDV`6!|k$eul`ug>uLE`g_dDB40R6YhbX* zZ?f`vB7d#QcZ&SYlz(+F<)@1LA}jyuV7l{PTlv=p)17~z^5;{&@hZx{I9QqkajaBO z2NzR^xWtPhGxD=!-Nsh@?qH6~{1L_WJ#JaQE3DJOI!Rub^F2{|I^#La#KgCYs#eze zjf3e${M7+s``)#xZWL9Yr>Kl*H;Jn6iRet~+L|Fc6J5&~yj4UK*Q)3?5p6@w!T#DBr~Di&e1#LKQj{0lU&SmLu8mu^2s;-5sk zma_(bP=iZri7Cjl?iJ8pK;m>wIPN!~{1Oxha6;lw4zI6FEQP>~CqauX!Ptan98fMj zMCNg`_gSQU984g}t6%DsxYH1M+$ zy#`iOUq2c|52(D}Q5a5Evv#we?6txjB0L%E79+e@gje?wmYJ&Ye6Yt#t?*qUe6+tzYy1=&?AJM3G(2sCqCH|W_;n78=HCz$ ztp#=EHMUdc2`keiGQY7hPl!x;ROXv3$dy*+Y>}zO3e42Pl_JyX%7~FB!z)?;i>>fV z5x(0BFBajmAZ+~Yv>evLClI&O3K|<%Hgm3oj7%$ki$v4>%)w?QK1I(6CK<2stWF5l z+N@Vg*00;FYbERNK-+Yr$PE+CGThD1c?R+L^_QZ)&S8D!TODVJ4!$tels#W`B>r5@ zFA($F5ceAM7eVw1Uz_JT5xw7vKH;-@t_JHwxg7e0&n5D+jGqZ6NPYdxSR8;*S&c;aog{u02T$^6axGbQ45T~17i@2$gAI7=Lejf2j z@`1nSCH+mr#Xpy#O{6oIV8gHqxth+*X39A#P0GBk6Y_-q++|$kj2q zEn>1Q*o-LGt_YVXm4Y?xrH3@d&jh=f@S3D3Uh7v_;tBECg*Ih^Noj1Q$RJx6)lwJx z!DgCokgyHAIdDi;QZQV4jd#-bzZKJ#-!7tMn3Ig?w_1KM_rKt% z-*hnbPtm4%R{a`L|6Qwoo~WPds(big>iz~b8S_QmBiLH55Or)NQ#rnM_|sE5b)-za1|nZ zDN2cgeF!kUi~))^GCBm~ z-2&1^XgJ|+1m-EjdN4`Sok*Ha5mJu(5tKaxinKe|c&G|lt03`2{j_G$ojE67IukEX z1QgwobK*6SCk(kJcB1pV--*s;=o9r*oI~*`PFUr|y(f@IPOSDy*fA96mvEMG*d4TY zp&+|r$HXQS4o~`p;|fn3eN3!qGz3O@e&NjItmG-fG3i%%$z%)Cp`zL&Wl%uDPA4%u z<`*WD;2ji0%HSbJaL_5kXCyr@cX&+Fl1$4B(x8-S`LWn3!%0t;LuoB21;{m5a~%TF zL5z^4&=KW{CNj+p6&D3^$P_PG913e07}jJ>!3$Z(;2bR-YaJuS8Z>dtF|lEZw&K5- zJBI2<3^Ex*K^!yTNJ!ESMo}4~LPbm+Jw8@HoZ`!p%cac4gS>iS9OI_oQW@!}Xh%7; zl{m_wZM>r#sijG@#xN|#%bG+uMU`E#(?)A%uyP1y)|YJLbiUub&Hj zRY>rdfKx_f%tj1~Q61)l9;xyeu-$4&Np(*SC2`o}rk^t0aMVPJk=mRZO0&MES=MAm zwWk9{G#Bf+)`>!{F0eO9#S13XImq1LYCT2T!!~E5n`Z273U%XN5I0>*G#wn)`HllwpXXLj7=P4hP;wN zQZ3`Hm<%MPff(#(E0@_UB{db;Es^q&6@vj4a~!M8iM1p-`suh?H3@|-fq@f(rbil1 z>ReBoh+Lepbdu{Mlf&l0I1omROVoq}ddSo;isBut+k-LaY0~XOIY#SrWMYBposq2} z9N8L`2d6D*zHWQW=0f8~?A$H^hP+qLHU5)O{~4_Qlk0j}p6g8cVY6daQ%^2XPv(rq ziL%d(hW6o%W~Na|ErOli|j_MuhG)(zq9%b6|6iw$f(03SEb~ z)mH_=5cQCD{(z=e5eM~mULv+wlfkZ=NUhL4s;l&wzhDZHqM9}Z)Whm}Q<42=HKN@ZPD4Y_d9o%6C-E3^2B^Y%u z%(%OV5_i-Y?~Xdo6p0B^N1asWsMB}2ar{EML#51&*lUMVWKis2M5=Zqqy)Ikt<{3j z1s2-relo0grcm5>FN{zGZwZGlF+Y(o3(72 z>F+5!Oh|8W7N{I1Dr_E-TIu%bsvrlke}eYuzWvXwU-p*B#x=4O&~+;`l5vW9UNCf< zUGr4Oo2P{?oDSQS-dWqPce?viWKwT-?sV{mh*zTYjS<2Cs|^!Wkp;GzLj;n zJM4|QJK^Sr{gERPm#e{S!f5tmyWpG&Tk^2`m|;=R8SJn)G;=U6(lPiL1ai4s#iyjW zcOR~U*a#iOM!JL8sBmPGO-{JEDh^X<@)$e#$NVc2ojknl5Iw{n6-G#!q5;d~iY!FjRH z9X9pcm1|dfsX=B=6Xhr=^QJ~Q8a72WSP!T6V|5N^WV$oCAD1zN_II9(g3L??N4?ZB zOBLeU_>mfQkJtn*Bbjz7jIA8!G7k&f&Zw)+pfl=fGl(AJcE(|u0|UOdV@?rA=m7oE zS!G&>a(e<>UyqXl7w5R^Tuhl9dVJ*ii1A3uXIvpMmm!>P6zN16TR*4kQI%d8p(*I| zy6_C5^==W!&Vy2WZK%=d2u+&cUIIb6jcwB-moTX4IK9k)6}-93alBsdFc&^x3{Er6 z`h)`5;;{l`i^rW|%h7Jb<;+LC+hlm_039dnN8u%fz>_0gCNj1={f0fjZrA8^X~)BB z!)|G>BVcQ0dr03?iH30c;Y`*Tt~(gjvl0xj%=gf)Eo2U#?`>UW_IP<_!pO%^&KD7 z>w>*l7wlu)1-l;l$*F^0S&)g$J!C+J=DL_YkmRACvtT#Z#q0q^Dp+Q65=5!W%>jj6 z6Eg=CmF9q=DtbUM!EOqqQmfq)iHU(q_$7`R^h6@uv$=Bx7v25cSHSaz;9`8H2fH#E z9Y`;Wvsb_eYom7+)ah7UpLuIQgG+!blMya#ZWzEZABJ%FFb??4Sbp4i1lY@XYk^$l z4mYS77U6ogwl^)B=~#r;!nN;EGSY3E9XVvpgTKISsHTMm1ot*TO{-(RI7ORFz*(P8 zE_2g3EM{lm8r95QCj=QugUw3^Q#EHc+({F%8|ITjcgFX^LS`y^@B8T^wz3m<)Ri3y z_M_3l2BRdn7vxegeA<~zzg3~@8xsxTsOy`ysnyKgboT?C={-IlX9rbHE z#``}P@9S{EU2Kkn(@`fmehY`_Z^x41RdjDXUxE_7T19V1G;`nYFe8g_@1J=k2a}c^ z`JsmQayb)0gPEeT9#S2`N#@a!mrEavA|IBMOb$Id_S*FTcGQ_8vg4ufPj^;+Z%ZmC zTgR)pw~nN6mJeN3RuR@pc2Q?+rq8Rh1_Qos7=mb{UR!cE*Umsc-HiU`lGAbFHKG~L z8cIe+b59|95ze4!4%St=WNVJD)Mncepzn63CEBxa1yvh@rN;Hs$i;+=%cr<)`cGrl za8IlB)?l;;`@qqw3+~<}yqao#rmw%d^9KCSJ?Vn`JN;5tLuO&)+zX={95;tZf12h@ zL{J#syIqIs=*@uG)Eu2sP<0=Li(}3nM@GxY z1v0a~?=zbO%NW_9hod0f##zJjimGm3?Fv3vP-Qx<gcBA!1}<)BCK2zKr9CNn z2Yuf?A`3kpj8fa%qb>?o@_3gjRD?b3$k%qx0OE@I(`lVT2i;WDYAnX7lYk zHk|CNAyFBp^f|6>|I?Vf!tt5&)zPiId+>Isw*vLk*PJ1ME4R#zJp56&HgKTGX|uCl zq&psDObDHty}rLIpH!lo9;{5Tid65%{N?n}aDy-1kz#u0EMn1%nzna<+4S7wEU9mY z!D* z;{rSFW=@}Br!~GCIoJ+5jF|^*XCd_<-6b+Fh4%&olUVqe7YK%x`ljo&i-){ScINUX z`UG!k#oE%jsnt$U;UTwlRHN=D^Y~!1GbTWb-rpMR5LXzp7%7r)rhVW5+41Gl5)Il zk9xgICDTTL?`?}mi06YC_v-r4z?iT^GEv%PR2mp_EaSsDUhV-v%`0!1M1-$_+?mVzAn zcg_fuNkrKz26?$Z1jM|q(u9|L5+Lr?_pTW1s=t07@k#knR>s=_U?u9vNM@XMKykGK zC&sxmrA&*7S^#K_PxLad8c^U}PtY0B!esF zp`AJaptAk%li&(1+>I!}Q-GMaTx%O;Z`+J4O@l3Gg$GsArcw`}fDcI_7oUXWE-`TN zuYlrW;9?s0wlS~(rr-sQ%wNTyhUjxfTuDn|!+Nn}1<}2LA>LN6=WXxCc>cSrSdtz9 z9OG^ANO6*01Nlt=T=Djutl3Ke8R8`E0%Q><`KrDWB<;;EK{Bf+Bahobx*H&NXvt{U z3J_!7nM^bN?@Uc)FG&L+a{o>SnoPYAvG)u|B_Jh1*y>H06vHExy-a8qzSGwLQ9I-x z-uWm3>{DTcA%?GkYm|88Ng0o z55!TH9Sq2fu!~Q|K)YTB+r_x&BKJC(cRSMV7?lNV=sb4FG$dihE>pS2J_A} zPj>G78D$M%9H!Y}$zA_2YDCQ>j)KGT zcePmK$Z)GnJze>>I=eX8pDfkc6;6{~XMi$EYPPE#$h2J7NwB^{3UWFNf}tG+x!4=F z6$LRF_L7F%W^$+CgFWo>+nm3KVya3CAIR(gKPv!*XC2g;Vr~bz(FBS10Z`*V)fx|E zSto2n$cAm%wbZud>8!2iguyOL_n>h5QK}s860fY6p$7qj7%S`jHiFLsu=t@(Dd;zR zTJ0vLHP2<$Po+{jiMYZl@OrL@-56)-o2z=m#Z>3D$d{g*@U~$ZDeYzW9spc^KdSRS zKmyga1xXA)0)Q1n&jE^&(1IzXt*UMsR#Dr$iu!8iQPRuQwA5c$4@$aQN^6~%P5z8n z*!3dN%Mx7tDrD%QgJDZqw^!23$gcp%k%;{X^W}qf2)G||PmAmdq60>ax5_FZotK!aodVW zw>?5SFAJ1M3gSB0?NZsDMEfPUg6JVY4oX$l%h2PJu$bscz##8JFHzRZplP+mY_aD7 z7|h-V;t+tX2GIyW(%YVEe732omjsTua7?0SO?tjfcYKotd#UlqO(nUm=y`DO*#=L7RZO`>{EDWxb&%RbR35F1K`FF13d;93Kx%5EvJnF z06W`OxIV+9q{}ZRs&JARu9El`XesSw_+$XoZvpC&U>DJL0DArl7@z2(ZB@Ob>;Q~G zHpu^7_0eH%GMD4x zM*<(aNL7~f-U=qJ2;i1P?7L=sEa_#q+9VN81@vjl77*JdK`J>M2sn+-l{v%oZ0veW z%artzybN&Ax+24B0P1Qt5T_tp?a$b1C;5KBK~<4~^EXs+XZZl27}bs`z*Kj)cc}(T zdYSqNU?iF&OEQzbEy<1o@6G zIEYI#`DVZ&y0i{+IML4CCtIGCJQ64b_+H+-y$p;6z&(h}R;cId7z1W2wDPA2c4I{I zJ419zm zO0d@b3D>&Y!c79nj{^!ZUbyrIxX%pnq^@g#=vXkk84h(40L3AC1Mq>Cff;ymaN40R z{44nE8{)!C`jYGdmAk~}r5EMi-^=Zs={kt0ki)Ud<=EASgD0k{!aX4lHQ)$H8RR@j z^I)c&(tR&?S}*Hv89*W~$3}4IvVmbr01{Ctz}U@Dl}R9?9VS8Rg_1eK&`G2WowilU&?XFBL?;1^g$$A1 zv5dSkgtVAQc^CIG)L{~cG?&GZT*yR^P{poyP;-!;go>~tkV!zfuKl3hf_0OKAEm6`-1%`+S>C`t8fW@2)@opjL? z$lE4&(hD4Uys6#%RwKXj0r{xXwkjT}*7UNBcW2PD^Iru1X&L;6ru;LYhxy`~w^p5V z`THG|ew3sxA!2QzBN0^_Dp9wg_5kfLK`NxSn74#zzo9+|#Nx~5PV;X7VTPoiHV>#B z&}VzP1jI9hr-SHh;pvHPVM$~?jmO>=XCU~$CEL-OR^0$>YTYhqyaIqO!9v_`f|~jh z)XvWUNF{m$U>ueqHY^fc-d_o+lSp|xdqZA34pZ+d!rMipyj{Zkrb!@T7RHS=7iD)w zyH^7ZjxCRZ^a21Rq89r9nFJz=QAyb6)Fwxn$z{NVkio8p zqQ!KI7xLPwb}|f8t)`G<1wN!^#nI(6e>@-C;-&3is_Bt+T|o4`E#WOO12Ben;}nj- zAPb0jD<2(+n;!rOs`v<`;DdS~tzha)l4|dkC`L+;q;`aC#bHhXUaCM7K)tG@{!9 zQQv-XG-?_EUZS@EeHmUAhE5`72z&L*p#DY}I*8topdGn>hA8E@04VEah)l8oD6@65 z1EkvkFoNhwfH8uhr%eJ8jg4AI$xDTylSmmt>&S4gFmw^A>aN~Ub(gC;oaf)WQMBX{ z$+MHW&?sj&&s3JO6x|lb1pS`RtAPXYse>tY2D@log$%ZJ$Pm`mQdqT2jO`#|1w=KF zVZAVP5-CGp4Q;&PXsg_HRMH)skC(PpZ}FO{c&WmqfKbtI>1hD-n3G)-ZrwX%RaCPb zZ~|4Kyu2aBwaV%W(hh2uR^r)Xu*?B)m?hplmW_P+{XqLmB-kJ4Ey8))V?f`M;9?>p zWOtq42I)z_V2mR-#+#~p8F@|!*LMlwjd55vA*aD!K+ybDl$T@3$qLWEC{dDod1>C? zkE?toZgmw0qJ243dH)=m+jNv2FCj%e%;+UP8GuC|Q5OJb1u@&r@g>+?eCdnnMEJxi z(|7hV@H0bKwNI7fIyHb-q!*l2Ro=_UivaAWiGB)*d); zNkFtq-fI~;$nZG8S*oX8-kCIfkqc3~r4#OeK#Jw$5%FKzVqtZr|?5K_A+iyZBX%BYbs!DaURN5h^ zvJ0iC5d&)ISM_&L%?;DUvxuexjB19SGzqGmyoEyQBvRhaUWV401fmB3RMOeYkWpeM z7%G_pGQEMg4uE>0iu(dGF(rB1vubdiN9}P6g#kpVik;K}E6Wjg#2l(BbTQ>x;qYDbT4 z`$(}YHJf}?mmOAJa(`h}7s<@lVRov@xH=t9mpmiw3?sRdMUXQ1E#;D-PO_{Q68oavQgvsd0rU4QFZ{2Bm5GNq~xk{Ji~=K-h7!X9kG#Gs#0zn6hX@IE|$;R87vY0EUST ztL-*ZL23aw(rmd)04NU8trF}ay3J6D?lDxgk}|u6)Jb$Bz)X@18D2Xuk@p$^Eh4@F zfV{|gOF$-OhIAleYH;gGvvq3RnKZ&wo2N16gH2HFuAz>BZfA&)Ll1XZ4p&Rq(_Bfl z$;mMLdchTPmAMQ&**#(Gut#K0 z!|9Th)k%()-$@90ZDU7FvDA>!`j*qzonXjlYu{N6Iav=#4K+DgE`Kl7P{s~*G4G6n zQ^QnS2Tsjss$1{T@by-#Kf3C0>ZIRxI>ZE38O<`>_&8a)EGp(CXYp(&^)StGl;Ji{ zdyR%#oIB_GO>xB4QrCJntm%%3n`4-49U&xHFAGW5g|m=8h&pi0)*Ri^xnWIrM2<*F zqs0nyu~iXe=+`M8#w0PSL8b(vVt|okXo^W7YPJcQ7bSlNU}=ee1;8x#7Eq4JbrI!D za4}I4fOo=BGu36UrhW>bx%OKSe119?-{yk}okaM?r4%GweBmRYxfOL)E;|tk8>>ocmF7}VyA|)q`E5Eh#f&g60@G1ZGxM829oarAZwy6jl7qn ze!ExI%iyI!bZ1pBgD(TXNc3uu0!9X310?WJECm^6j)5LE-_3O;vRV%|v<3AV`|N=4 za{dOKZ;O4W5!H#%a-!)HJdJ2BAnxrD`;0C-&AGZ*6?;Ug>nfnzP4F(D2LQa_PW-eX z9{_sJ1fK_b(F9)t`iTj$7EES#EW8;RJRrt)5IrVAJ5Rc%Xr*)?hugUTY(3&V0C?Oz zK#!WB%XuwG~AUC4w84R9AG*f~dL~Vvj)McndspExLv!JHuL{le`(X=$w)JaW7#$K(W%u4{) zvT`!aoUQ{fOnf5%782bf!PAKz29SgJQ6YB_y(&R_-qwV!*CrjlgJ`7$Pa|3lFjdRY z)soObbd3a0Bf0@#co@1v5;}+;l;CMZ4+9JjL;oxZ9Yn84@H8TPBby~4%9Tnwji>}* zBpFJZ1fm5tL917b!2+wf4AZQ;01OiwyOz?DX9F^^F=eTmLhg3hvO>&Sx&~;630@8K zpb0(-^sEUMO~>pDz-TuEXn_f?2f9RpOK$>t&`_TPGG#nn%LtcZ0VhcTPuBuEUdwEL z1)yET)uQTjqA389iA@f+X|Dt4Jt7q@NwvP5?&IWh2vqBw5nhLCceW9|mLaPFk=_@+ z6wcXf8#f4rt%MwMD7{~o*%AjoMyv~H+KKA5d-2r85BE+UNPe%(SI2#(TbUoQB!4D3Ua;$V3;^x zSeG>e87b>yl)5G0B)$zGCmpnsk=p^NBWk1;Y8(b!AsQD?0jiT=C(*G0to2h`Wji2s zBY;xG4*_rjPGzqKWa0wRa60uG^K8FVMa~RG{|pzZPD03QJ)XSb4CPdIG$E90MruE zLx8xqO-y;zkcplQ$jtsVLuQ5rlA&!|W~3b!)i-??Zk9nniY>M1Qf%OHF?gp?V#18`C z0Yrw=R?CYZ{a#Y7PlY7A(+o*A3zAsd%!1e`iRgVPNG5(6fC_lia@x@~ff}b*P?36v zQ&mi6Q!<%&1ORPS48*o_I?z<;ZU&H(crJh=X!709jqPP*GXVTVTL2hAOSBhIc81XE zdWm-coL=yw1#nwU8` z88i9mAep#8l9v#T01U>)H~H>=jO}G)6d)H{zox1lue_JBv4A{p>xhIQFKk|;z%iZ4EkQEzWszR5=NZJOFU4UIU+94`788zaT!mnCL|z zZ_({bcLtjoR~JNzf9w^&&&jRsAg8x7lZ+xtUM7{m zlFcry90zlf?Y_%dXFJKZJslg(lFg&FUJqWLlg7>%z9ZO7!D7 zSsD$lk!{)>z!&>u_@O9B+Y#~c_tX2s`ajaPWbtxmqBw*Z#5Ngu4`D0sWO#@YVd1wH zX;?9OWS=hX_EP1`I&Oq5;>_<^Iz_(~5^A^eyQ3*gwL@%{n+u)#aGX7}YV2`PsMK~P zM+3#&LlN6JD(1di#0_h@Q&gwQJ`B{*LZ>$Ekj8?ChZ|YOqeu~~SXQN3&bDklzUL;g zMrSsWO;B6hW^u!s9w~{P?z2-v8Jmscp#4^w!{bz?L(P=56`Gk~vkfh=bxGbMG+>0< zonB%43^Q_j#o;GdtzkyCWM-j}(iFvxr-US1b|Yjr_8~`~oT5{%GtO88R5;3MnSgEzlR%_-g-)h%( zGpsYZsL;^@c;5SN0jG+!Ui)nf+iY1HW1xOwWi$Qyh$X1`*)2JuRF)y!vm8;?&eWNu zK>cPM^4d;H-h(c5+;$G-vrH@XeReh3MB`pl7QQX2+2Xj@cW07aUpCnf-j?jm8LfFO zjMEXES-jtxcX%Ahe%Yf5VV*~<%Vg{a!s#Z=%eq6x3>2=Cl-FH>#=SpNvc>MRMoP@q z?pa5qY|Y-+-Li^i^R{g2!abf^msMRh(f4eL<7-g|a}q*pj&^O-#rqj%oLU{Qx)0S| zaqUW5$l~m>eZ3^R?0a|E?D`JPW3$WlwWZ%W)YA7mvMEEhPMI%eJTkN17 z;&gjeqF3+7Ne7^}{UWZsy@4L?5Q$B}k=E0R`OC88(v&pay!n1O{92qVLogG9|CAfqrZKy=Nxy$Kj2iF*;_G8c=x~Dk#v1Z&(6S469B!q?j-_mIg z(+hXtOnjFdk9QE=CBY>`_W=4+md#_ss?L^r$Q|l%vh0sH;y#^rnHXBw&uASo*dp|o z#UGO4kh}PMtYlsj>6V7?BBD#M*kQjT!+HQ7Vcd!*8W|*$W7mE}9}`j+(UX9v3Nkzh z800lk1%qUARD2+nKeH7#-UCoGM3(`gElP$Z!q7>i458D!4lA34p@V2Tz-VA-hDjiz zqNr+0z6sz$lh^}C0d8wbB;Wo0*sZw<>|a1h05EeCb(p#PBGS zL{#h~F8F1?6H3V2Pgi%= zUm@>Niz40r;B}O>>-N(!S*kdzxNU&8RmwdH4xo{T#7n zN~rP>3(GQu!=6(H)#UbKC)p({tJ8;%TuXAkH~@fhqE{uDWg~d-@@7jNaGY@*AzDpg zf%_R}LWXP^f2dOJ8DFIJEH$*%=^yqG&vA%d81ZigKn>BuZ4BDCN;CB)0NsX|ECt?{ z+{6}4EL$+C^pbK5ARklV7O#8lo`rjercKsw;i{G6|=e`>rqb9M!;Ad(g#IU-oBOR19 zK&9fuw@T%CqsZaBZeQgNKGS7y%=eS8)5EeWcMNj#ambMmo@!_)@_RfDd??1r|`>le06W)Iz`&I2EICFA#YSbwQWY4Z7EQ_5R9rwx}3}x)t z;LI%9gQ1L_tDN~-Q~9-))^KDxtf=a6-7$&B4f_mje0nlE*!88jN>s5^fg#D(8b*NB z0OT;)cF@CVwGUK0>P(uIdnw5|x$cLoQcguV<@?}M-A3zYro;5t|4etVWoop3oDxP8 zvJ^7PFregnD}*bR#RjLVIR*?!4K+C(-FiF=cF?_uGkTCW+gd5v8h)PV+>%nll611(n0# zdl!bEOLKIDYHf`~yH(bLJ2}`JDV(9)xp5*pHO$p9+Xgk&9e*O#>g+wO)!FYbajbV3 zT*aDMB!l-fJRFXOFjwore@cx7Z@R3{#@VgaNL~D~n=7pBLti3=GPZ#ZXRLP`({aI2 z>H9JyqTHJIUX(wA!;l}yDNP5_s5v}5Swd7S!49IahN}7%N1E7nm@w5E=5)L;)#HiRx;Ki4 zdY)GRyakva9JZB%c$M(OZJm6y($^qOf3!93osG&gnep?)^FZUrKsN*Pd$Sb*GhLA% zoTWU!s7ssQ0)Ehl3KwPL-tt|lj~`WiUQ#@72^O1IjmHat&_Mgj@XBGtuPDcz8^HT3 z@Fn+vkI3lx+b^k}HI+OM^}KZfULWA|1H3W7J-~&Io+^an-jffgo-2^fGV`bH$?)TV zxR?4z;+~!@TZvR{4vfCiE6w^sGg^o{=%Qi zDgAJ9O0<|irW`B3ujSz9O0^u#sAv8b8RR7btnvIH{#t<9U-+<7dC-AHjR^98G)Qj>a7%y}1eoYjK-?Sks+NPa1N?*EJqFow z%n0=pehHxpG=6AdJup8R!S?6Z zDcBGAV>-WgF;!w=JD(lIl`k9K8|d2)OuOy_R{x-Q4*-5VEEe=rzOs846Y#s#Ap8F3 zOME^x=+3Zv@_)S^yrtNt^z| zS8l7_QxNA*>zVbXzbg=kd#~54Uecch@FlLrK4YG@z#!W{m=9n3%DUitanDBnd|fW- zQ-M=V4={q>F8;x<*&u62Du@$J1;o8^s3KEpzBlq$K|0g;%hB>8ya0uXdvA0oKVQMM z26VoeYa=jUi?#rm?`69im_IGQ&L`|w{G|=TP;P!&U#xTf>J0K!u0Pxs@X;^%>oCYu zzgM|=0sZ6vZw@f)lfP6@PL1Dh$ll5TYrHCmKN{ffK&}XJzUQeepet5CV>*A@9*WgJ zHC-|Nk*|i@D^lD z^-_*M)pMhXdM^i9?NWW2?b;FWY5L)0i~wtXjPr_cML=&4F#VIi%M9}F3UGITwH)e?X9RSW*Ci?Q;T=5GHxt(o=LLLj z`voL#BkDTtmE5W2B%S&2TBpuStgnZ$x@Nf_0oMB0@~C~=k3dPL)z zZziq__!Sof@tgn?RROS`d|d0h1bdT{L0bc?enr0RSf}m)TmrZhunVvUz%>3I$7fKV z2P{Zp-UAq_=P|qm&|HM~R|4h%P6C_^SO!qO?clita206R0&WJ}<)nKIkHL=EJ z{f#)+-&}hWxOUcb)vH+P`@wS`;6cE*9sb{A9sLd=>*! z0Mh~O0Hrf;uGtA(vlF;h*Zdjgnw`KkJArF+m46iB#{iE5z72R1Z~*WO;01v4y@v3c zfVTm0tmT!i`Sgbe;`^Y|RWJ7)R|BpETnD%Ta5LZ*z?}f)WB4w>J%IZG4*(tkXgb4> z0v-cA1$Y|p96-~#uek+q8{l@poq&4*`vDIEl#k(u0FMB^4R{i80HEntVPAAL;2OZS zfa?Hz0XG9~11R5P2tN*Z67Uq@X~6RUOp!`_X8dPJP3Fc@EG7J zfaOCwv+lHA8D<#?+`AE|i@-ga$}!Bn8i9K?0{3bJ?$rpJ0o z8wOB1_ha0P5x5s4a4$ySo=f>MG1Isw%A_CZxZ2IUo_ZhoasS0VR)6*}je9Qw_ga~J zq;c;>;2tM4Ju|L$XVQ;!oVIXJrTKAR^$g%yz;l2X0Q{7mrn|IU?0xb9g#b-=Y5ZEA z(t8lz4%h*>1aK*U-!atmfy#Bbd@K{oLf{^ez&#>?dqd5SVea`feyEr-+#?dWM)`R{@$%8@QKL{S0$YN#LH6zz;!bdL~vq zne_hRnf%;$a&OrmKhwD9Byi73;GUDfJttu!pg(z~Yrgz~0KXc*PX-iV@2KAh&~)nJ z-cschYdYUz{tf_}soWd@-#(rKXu9$%{;<&x)h^Zn_tpgNtqI&)6Dm+%)$_jvbAQb} z@sTPob#X79sgE@C>|Asmu6#`6o?7D!a}TZYOw2UytqFW=Dl`2^$JO7tpEgg?WG~0z z=1X1Xw(29JFEfAcr@5CVTn5NY*K!R+=f0YIY2{;>duoEYPW8dqulaq{u-5y#_%Y1= zH22bkbpTDDIGFoxUYm-?epfiei{Xa|Ahx*sT!xN&WF z`dlV=_gvI-@s_pQ((57Lhee2U^&!|4-Uzqj_(tc0M z$EPmhIk7}4acWHv@RRHO!j#{g@{{eAm&V^5{>f!LH&MmE z<^D}OQ~qOKvp=fGAJXi1xBJ`E@q}aOzs6%DYOST*?fb9AV^tGt%W6+qG;#JRi)W{5ODHhfGr=|RH&GEsG z4KKP%d7$9`3`#4jBb6oDUz-yvuB<@5Ve|Y|PRySZ^Z(gv*6QZbgMWWcEajh__EY$q z!ryBDj8whfn4(Rn+-g6s-5=KO4^7pQ;-3SCyk)DjWq4}gznc?l@GH}0{)&`8Aq9EA zI#uSMkp}Wtru+)DNBXO^xnul`($rRv@-I#wi;_wf>BMY*Sjyj$_D82Ls`WRg{bA{O z{-(4)JUzS8&r45k9M|aQT^I1K^h?t9HPajG>*)pgJ!mCAKh@ggUy`b>owvMly72WR z{2}Z7AI znkp~5?N$HNm!>QIcl=a^U)Vmi?$k1=3DiwRtA7kWqk?Lg&p$$sQ*L9Vy4|1nBh;`)5=>GLT1`*pdqH{>tJ@gIWe!?l%9VpcKch? zP>$fZ>*}V~)l{_9w9EvW+E6>rKPJ7j$&@~wfck1?oS$y;|NYWsmrOx7o6UMiG$)p~ z!1nUWSq=VYV(orwy52t~MV&4F-q<=gzhB6Lmd|TM)21&!y?mOV?D3!PX>YBa?f)>D zE_Et-0h;>cb$-FR*^5r{hwS#ZHM4&{(376)Uvf{A{|gv;H)wx8B<&aNp5~8f_w$#b z#{AwtOZ%_J)Ba5XF6e=!{`PgOkCD0U{xP}hT017f6DPL#Z+q$OQ|qQpt6l3Kvko14 znqRoPb9q^L?Nop0Zhv@giVbrvhLc-P^$XhPoam2QHg3XX|CnX|1;B%EBOo21`%xSa|A$Ide{3=->Q_X8+%DpautM zK;ATNJ4%r9XT5xazaWL?OvA?1M3mnjoA%e?Z^|E%yStth?Z1ewo1bp0t({sv-~ac` zx6~uoJ5bYTx5*V1C3SWF6&E)92QEen{TzjVYf!t7ey}>_m!|!xX@64MU&bzv?lrq^ zN@c~kiVFX#OV;^sUYM%&Z_Q6FKY2bXq#3nYJ`HsOUmCa0U)v1nt@ZHR73itxuZ<_r z%5wicw8FCm&HmT6rThcvOV9*Ry?I_ z0vrjITR;9-|0N6_ccA6(-`VW%g83(=SantGYF+VMNb=Y{E{EQIrbKuAT(DxxIp==-ob%RhThVjD1sl&@f6j)@)6P5h+;h4&ZN6ah+O_Ab-mn7UX^c#h z*oMvLZoF{K+7+A5Id}br&1*jh)!Q!K=ACc|7O(3*XVcn?F6jRFv~4|`8XL~NaP`_1 z>*`m`>)x=PWj$fx;!_r{Kn2s@Bd2iQ+U*<8UAtoO68vA-*l<$)jvY3W_f^juH>_TP zs?VtJ}t5=;oXTd5{SuB8Av8~>?=3KK(TeW8Gx^phv*t5!6tgYJC zvu4BQ9<1EpY3E+hy>`{Pn;H?r^%yi-&)Q93KufGcoq!6T45D?7JJuS)#tY8<1m#0f6mo>;!1QldQ?olsU=rnA%!m-|E7&y=AQAEUzBl=D7r#;~ix z5(XRihm&3|hpyZ@{-M&`as0ytM=r)cqDA~8%SohXKr>UJT6BemHVG$8w`6H!TNC7b@Oqf8Lt+054+H%A+ zW1r{|`x$c6ouXwX8PNuR@6P6xetvvj%r9E!m!+34_sf?pUmoWQ{6!As-e*5L1NxuB z(D+A~F^<4I*YAM-zN$Rytj|Gz)240xPY?qP@0cf?;l01vg!4w<*#uKVbT$bIJ9cn_ z;Dluc_voO%X45&@$QPc|&4~hGb6(pW_;?qH=sW4m{3lsYORSuY8tF zqk2^MZ(gjtybS-A&%S=b?0Nph&6BaQmJT{s&M~jU(DV`6I=!!9|4@43`^q5uymADl z!H-zy=8nwUgzfkd>fM;d(6;C}m|gpucsZl?op{3;GT6q21li`fQD+{OnbYmc-RH80 zXCtp#%k5&H6f<%6A)kXCY8GxYfb`)u14tioF#BiHjpA^VnHSk)BGz}431Vacf$JUJ zJ+?q=doJ7prG1!!ohb4*D=J}WUVY()jXl#gY;M7k4t|5;yxGmaJQk~{D=o+PhAoEA z{h%))?s$Cec>JjiJZ1tvZ;JDO>&N`OnAxOGoiMv>a%E*hd0qX~sZ|ZNWu=u3ds@%a z<8Y+c5`&N=52farv}AFEvR2v ztH$siKL3VTtbTk&Ee^CR+i}1-zka>>)#2FO-kI8l(x9elGn3%}F$eoDcSc1picqtM8vMz9& zGXD5egu_2ocHhSul7#z`Om*VqoJaKY&nPdgt!*fucKwB4z4fedvzoYXjU{-+B2Hs` zsnzDhf(hd$pfIbe8s^XPC#M!HHw~9goHyTGb?8aN=Z`(F7H9O_<;D`b6Bpt@_N--o zXPTls%1_sxu^ijdEzPK;ulieXiha*~zrhq4*5GnMUOHX`-`kC`15Y`8bk?(fnlsY- znwk$~?mq(6((is%ro3%3V#}%yWIFzwnSTgFX8` z)J$i6w18};#Xc#AwNhS%`L7>62i*XNQ()#48P0F8jB^xkuJ*6R#quF3AD3c=q-tBL zN+yi!z^Fd|`m-kE8eltu6%7^jxFVHmYnfd>tsFyfSxfEsswvgA<+%E~K(35+WJ zS7Ncc(u%5*MJ4lbSsmgRr54tdfZBMTv5_|*`(O2A!*P;aZfaw`%vWhziULnc`KoD_u}KAHN0sGk-zL@;LA9Ks)mWX#XZ$dM~M}C|y*tfUQ}vpniN=ML8NIFC_~O z{#~(rPEAE^BT8FaQID&eXg5FwifLU0&349us*)>e%gbwLFPJ?$Xno#W?f(eNk@1z| zD``~+_Hqp+b@e6X_|;d`PHP(1SYA`nFdoG~QD&7@)Kwy{y5;kww!S8(DkmJBg^peZ zTQR`Z!G32UXEnc}r~l1Ye|xAu#HFyqJn76nVPN{}Gqu>QOE9$a*#>`BY>ckM`HX}A zgcs8|pLO6BG>!9_2mfEg_(sNm9me?#gnuV)6stNGgOY4QPLwYD-?)hV5jlQ20xP6H z!coSb^un?4sl^Aletd5WMzh$AEC@{pZW3f>>4@#%SeZ{c~EPX4=CpG8|WPF&< ze;f4Dil^(&IcKwS4Z+|C@Mh_<4GpFrNVQ z9|fgWc_iQeiyMcPMF`K}w&4Ypi*OIK9?xtRH#vVFl&Io=?L+xwPA1RweJEe-L;34Il)nRI_UzeG z2a|9h8~6V2(ZTXyxA+~s56`*p@eg+&p0ferT@5@R`T&S3|Exw*%sGPd<5BlVo+BF7r=sx3RKi6UMc+_@}4*`u2sL!m}>X zus|+$_^0!JzNB3LDC9}}(Dro+KfNwqXiiaNJiR41mYUb%L#nP4DsdMw zmHFqVBIEbBlCiP&Qi#a^d?+q>PYAN^LDqF=olsgaRj=v@&y7VWcJy-2b5O)5LI`!O z^=C7)1T0?&`PNexwASNRcGBbuOzsV0mC^E{Ua3=oUVJAkQ(><7pmT(wkG=>W@s}N?m0=t}89vFn7bLyfOc; zcQ!Ao^ILbH>VF=75u8Tyaex0GhsJQ{E}nNOM;BVyy5LOsskSTM1K__Ak4;4PuCJ`0 zPS1$pVHrI3U>=>IQ^XR>63fd@u(l@>r?ynlEICqM4pAZ>|EyG5lesE|M6w**uAA?7p6P5zt4$PVR^Txah~7Y?9WS8b#$KEv7n`;wWamc zQx`3odN?Z{9uURf}acEaSb|oi! z>@26dnad>^aB$%cS6iyh#Tyw1&D<(uVtp&u3YVT&T7`M86^~^uz!Qk=8(T3M;n75Y z>@p7QXYr9OJYzU#oesUC_^nvhfhL V3|2H@tz`nHL!O>KU%nfF_myCve`)Q2a# z+Ef0N_D=sn%zVLvSdzn!<5_c%n;Ya_hiQ%Vm3XqQ4Yx8>l=^GiBO@pFw4=vikIlzJ z`CJ+Pru>rj_plQ5tD614*_rkm+ZUd?F<1qj)^`;sh4U*ox;ks>_;;Ilp>?|E$;UKd`gCwxw+j zAD8Vgx9L>yiNIBwq@^1iX=Nu>~LA_uB44uyUPCYpOA(?{!-e!w4rVKD}+CfeEKhxKtl^9 zKmy?r`e=X_D1A+7O6e=*UkQ&CcrVcR{hpb*ckb0XgnxPMudJ=zd*;uXGiT16Idf+2 zOuCT7NPl{t``fSX3yq==HOC-;ono+BWr>lONTd^+x-M|n?{kZNl*9Dcz}*AxL_Fk# zxMKxO-qUIu5x=o*| zWA~yL?Q%bSOD80C<)Q!Kp82G^b6<*L^0EgGx8t9?Ha?8FKfGn1VG&Oja=!;}k>2cu zrqNcJX%uZ4W3^RCJR_L&Y~4BF&K=@gzN=w|*V#X2_X!)W7eDgUOFi8G6nxbG(Uwgb zZiW3>zgYPne4)qoBb%KlGTd8lBVx@YyHG7uJ-kf`hXO!7H0u4U5bY&fi?D1PtmvW# zG}s4=Y}z}5c>nE1rfu-{q6AyJUzKBnP70oz<-R!ERNJ)hwl9)yny|$w{*I9#yWRy-8OJpVyC-zpL=;6TL!0ZxU>Ul zpQv}5L6RqOx&K}8d{OYc{n)*x44%^E{*A=_t;GG_u?Iqm6Q^G+bKNH-#qXZhdEv&F z1N>{8ez338Oh0+Dm;0Qgz^uak0j5EBfxhqE68C>!7wg2Pd(4kP+4Jx}+rHl~H<1T_ z+QJ%s{CN2Ai`!hrz3w#V-9)E5)p-TCUT$;8I^9Iy&VD!5>2`N^?Z->v8<_*RV?RJtA?xBXNHh$@fu-`>zuB4?#X2Qs#cnjkULp z^&8gmz%ut)H&_ke$z?7M&e*7YCBGrQ=UZNC1^W|q{QoDa>ObL-@b7FsMc?hua5DAd zIh&zMquTXH+Yl4zVx9>|T@05`=i!Z8Jy@hWl@SG%)k~vs`_9WYZCc-c*+pF&an8(~ zJJ;E?(sJZ}Ef%AR&PiOG!OvawYdY?n^Tz+-ZyLKII)9ac!f4EM*=s3Kt zmtXRPlCqqkA)u+bg+JT)l^}v1er@O1<@~ymUoU6uPCgCrYmi^p)o^@Fsim{&Osnni znnX8V-|ueUHtc@FiPO!PI!cFSOkQAD%K#*J1;4~!DknOr>Tm|2s)O@)POIUtowl6h z?Q3=~W(Mro;EyXS0m~cVVc3}@?<^uahv2#V>cnwEdl;?>;bTL-vZiM;$5~8rPG9pc z$_xH6IV3>6X5Ym$vSGiMX?E}n-sz@gSMjTlU;X^r#jhdaI$Uc$T5!+nTc6;1M-vWd zf`=Ms(sZWa<3>nqj|3N9>s~C*V^z&Kw|A52iBkSZvsvlcg>j|H zx%KCk+0Q-Q|K2^_@{-AaWYg>vZ^;C<8P7>}KOBqo@Lh+$cW_4F@59Gh$BA2st|1KA zEoWc)iJ4tvhd;jsZx+aTcrpYv8n)L`DXwj1qO~+E)^6YzhqtvnDd#5%!zBKeKD?T^ z&wU=hXW;BdoCdl1PrENlUgqxabo(E2_u}nByc4sDhb-a{w5GMzDD(BEm;^&n;p8!B ztoeQJC4D%_u&d{7Gutn_bk_jamS4AF+fH)^Ajpl@kh*Z~zUG1S&Vik~aF|}xIjRI6 zEbV^Kjje?`G*f#XGVMMC&-bRb4Mw-}z-jkiVe*AH3*3$EQd>7(+~3vK)irGLi^6;# z&Uy@~)ZbqgYfEkHl0;|AYT<`oY90DhpDX?+o-Y6Y_JlLz2h``q@P%~cI}CdZmpBI@ z%z<+dp2D!Nv4N{Fn4bnGpZUvkYW}L{zVthq1J>}|$dxF;mMig8lU-KlhphVfG{}an zAF6_-xBm}Jj|UEOt1333)H@I3AT&%(_u=Oi1AMoyUB0nEmu_?Kd3F3cyzdcr-wai~ zcGf+6)}5I(J9&8Uy!+KA*@Cn~cG2|4-N&&RYwJGu?NFef#d^_KZ|;=MN3>|6*0?#Q z-~ClgU!S{~cK32IZ$-~HH(xx^e;vY_aMmM!+-4e)ZnJytYX_Kh8P2Z6kIsQP+NHH? z0n=7ihBA!)c%NH(?LY!sxmL}#4`8unHk}x7zt-Fbr1zbuVG8%H6>;}hPx3TS#-BBt zzVHoL1r@xcM^nNbPR%?7t@)C6Iac*U*c!6BGu=-@nr_CIPu*If(w!HQ-E(T1)_Fy% z-~_EWXZCpvaKFZ7EewCyd(ww#)(yuKAmaL2ciZehDzt0lJ6Om%Bc{%++=iF<+T}I( zcxprchPH${P|P?D-q>OtT_g?spdG@~|J{$ZV8h%+56k(bdGYB7L&4u%8F+jJ^QTtE+R}-B@p-=v zow&*Q?U#B~{7*cH;kARGznt-7yqw|bT_QijxrILpg+@XSvSuX2bEA<^f?wQ7_#^F# z2__x9jKuvm4xL{aQ|DjEH+8Vm{2Se0=qt3154oRnYD2r$xliA62s#dmejalGs`i-s z+%0|AZ)w}OKGD{m=((i5-)vYEo$$xlvXbg>SMTfCu`Lxcs_(<0Ej%xpWxa#mTCU}H zemIeu+vKjhR~^TlluZb9hHiHM%IVy;*?kB{4sY+gEWypL7~15!jU(?39AMOb=2&wZ zPrEMOi(|)S)Oh#VR7UcyboAu@pMn|P@Fk$1zbW=}W%T1HBc9&$Gt@-?j~1YKC`SWR zy+Kgu_zvzK;4MM!TRJeQ#{~ofZS9*jbX~NeOWxUntvn29y;{P+OAD*|F73v`6pm@q z%kI2d$o=DsBAL}|4Smg5Z|LgLnXy{3YTu>ZyCk!|(}DL9xwmfeGhe(u(X*k;%G@of zUFCe>twrt!kQy88;MX`UzWsvsE-a+EUxbza0CrISj*C-4IyYSggZmpdcW%7sf}QO+ z(4n2qo*y`!8@cUk=jIExZQm&7;s>y&;3F^+KY+at_}#d%z5BB6#76fsw}hR$_ccXa z6WE1#UOP5kbtGU)tF7mK&G!uqgx9nlZi=1XzCMxOWqcx{tUtz3eeTu1=KI2tUqGbV zbry1kd<$oZsC9xv&2I={yUUHmaa{B+&ROK5i(Po%LXJi`JQgw}{|N3GgCbJsy~|!q zZGNTYMWtHxVp4tU%omgD9e6nd^N%mQES}zzcHcJ38B!DEF+Cm{<35dK7+61mWS6Dg zkIZt6l4QaXFwFdhay^G!t+B)Iuf1#^v48Va*x!5>_~t&lb{~=d#@vfT{-Lv9O#S}P z*|Ce<`_Jz~`Jmu?^ZPp1?Fu#fgXhFHxnDo8FMZh^?t=w2_C^KZ(@gU?(g4L%g?;Wj z&pVWMAIC#$-zMO5-+o>^?S8VbFI4&0tckh5d0yQ8mjWiD=V2!JEKa&v-N$7UPG#@g zg%xS{m(J@Pa9?vnr~CZ5`vy??TW|390Er;~$(mRvMDNmF9ehPeZ4Pwf&Aq#>=f=!- zoV9`p{-fvaOS`w>YLLCSMWP)qsHP9Qzr(O+ZrC@_?%u?gDBz96&w%^-a}Nn4Zom%3 zcVMpjv=iRi^U%35_iNbQ`Tre_yZ>_ysD9(orBwgj8c_WZB0jxFsQ!baVXEK!3o+b7 zA@@;qCOiCYGw?U=lMaq(Ti<^5z(p5y?Q-7)ZL_9_r%c=K|_=YvJ-;~0!tO#h-i7HfxN#DDuv`uV%sv6p{i2abhk?QHMg zhIh;RyYMfR^H*O)ny;M8H{F1KU?;j+*N&c4|4xD%+IDoSM?mILhvIB1#D%`wFWu<= z)~m4;doGqm*66=W_L;U2lAmdBP>{vy=rkyrb;c-f)*mB74QV&wI%V+Y}W z2+Km$HlNhzSI);9cTn17xOi*rW%^z3b~pBIKrhP0`#0gAX-(d#=)QYx4Cka-`8M+z zcA)&M?0ok?$GY@>?ug%n?dm`>7MGAo6W)e7vpk0s-k)C_y9g&KU@M29rh*mm5Z|p_ z$+mJ|^VL0Dw{>jY*1nM^gN6?+_>1#n<{gAd!65Wldk@Cid)wEi-JU)n3h$~shPAxM za5>XstFfExwD<*=b#!0Yvrf>v?<>dC@OPfosbf0)nD3M@{3GXmeq3T3_D7H?_w$Gg zRq8ihMlQ$WNzv2#b%a5Z$1OT)q!Cu~wdSVCR~POJbGMS*9qyyA#u0ZZNXZR{_anG{ ze~J#<&%*Z!L;P5L=SEoNJ{fPiF^&dU}yVs(O$Bp$yeY(^I0`{Z(< zU6MA69i~Dg-+j%RLw=;Uv?-JuEk#M{Ap=4QVV98gnA9DwJ1sVpHgctcWuVx4} zcjLwLA4<)A*n#F=%Zk{0qrcLEtO5TbHDI2QIQ-cvq)x-MF>N5_e@Q|m?`&i;@&iN( zpEjezjA4Tv%D3*9ND4IT>VeuxC(ixeD8kn z8Y&%Eyq?(oUfkPDe)wvzRQ>@%m<)PJe7L1aSC_Qv9jYIC?G_5AR&b zU`k9ny`Zffoy+rKNdzrUZRV~E3VJ-uPdffSP75KfAd zSsEeT)t_KuOggl2z)Q2;+g8yb1|plT<`=g$G|5yw@+Af!8P+5d^?tlRfa5iciNJfe z-S@0w+p4LsSZm^_5TkMfGm04xLzB;9dkH#8DPTX3hCj#0DGhe#8I9(qe{hDGy?tvH zhH&Q(e?E}^e3$LN_g%JfMEcKg8287@pVdnEDGuLy!K2@OqGXepzpIRcxb*K|J`0Bd zrv1&Lw^EP1?Hn8q1aI4!uf=Vbqd0ASL#@9lab8RMvZh{Zzw6Vh;m1Gk5N_MkFa00`Zfk;zjcPW~DUptxnb&q^<+*dzU6g?~Jp0q=prCAYdkhQm5TgrGYx({0-^ z(A9J4w%+Ifaoh4(C#Fvq^ibi_r_xJ3tGynd$K0(cdu>nC$++>j^he9;zBO)5nr8Yb^>DR1ih&EluFTpEBk4^H;?5;3zzl2pt zCX0%*dPOPL+(RFe|8_!xfd^nZv=l;ya&R1^PX1dH)cW+cTZ%V! zB&i8RXLB2SFmqTB>=)8xgS6FE`F+iU32BFI1MbCf_gdUAzp)Re$82NAlM;V-d1vbI z{;rPAI6}K}sKXq6EnMxo$wfA zBWOoAHiNp?^|}3V7QU$;L&Y|{>bnjD&q!aow||T`+n?>9h#;8%@+>w`qH8;ZWoIIc z{~a$7cmL^1?gmn6EH_}4bzL8}aiJv#u<9DxA@DuH(moKz{ntAG&h!sOaL?H!F^b?V zt+DgLO|{e|njp}Y)PJh$^}973V-xPF@BguLe(uN4`E0AwFG5*_+CYtojujmudZXXP zdh>Z<;@^NR4yUU2kA&#YaX=)rgRPIA#znE}6v<~G8%@pw{Qenix>HBrG(_S^a*-fEETlz2;FrnBnAD8I{_)(yhw`ZR9L#BzxI$xi~$ zxGe^g?cQzOJ4`KeOnYlg-2gFsjh8Rf=JORVpPS3$diEJ_I8)0^pIpo*%cW!aO0`ff zIg`^~dVF{!PB^2p32!i4D;&#D)o0Wj84k!vm5+N9nQGPBjf?g26$XuZGnwqs zWTuz}h7-A(bD&hH4d;uQlRLcOsp-M#5hq!$myp^kbU7tZvam3m&t#8z>2fab%y`v& zaROuyCF_++I-jY6-jiOoRP(yzsa&t&oS4#FT)yh1YGJOF&&3O+nlNOlzR>N>J0mA* z2b`O{Y^_oR+R6Nl5;-_i-R|TNT&hi1O4Z?fZEry$d>Xy7z)RWMO>7{wUQ1^dy>!B> zWoC+O18}}to3KbCB~!->wd{O1s)v2HQ?){|h_V3rJRPjp=23PXi4!}#X(@CPOsb&; zGsW@6d?iyWS9-mi#H90;xx6>)6>=xMMD&hC6p>$aO7#Vm$EkVwN@X~IV?9$0c;Bme z@8#=Y@j|&aQpx~z-K(?BOoJ2=M@bmezeD<0$xLl9d(4TnxVNCnyPBmDU!}@+IO&~~ z=9=-)9$pbON09+F-9{2B;AxfIoL1Zn7?dhI1#bb8M=1xAgjaI1vtD&EpU)*Jyo<$h z`KVXFq7MG$kL63*qZuYB?N=>|Ea8?0LefW+(K<}#aVr``ymyI+M};}z)D{+X&Gz8( z&>k&g=z>%EqLVvbt{im^-pEqCLxaxj%;IP%mp|bQ=Vu`E*}U#2-YEKnVHeP$2_{Cp zY%v4ms?$_z!`B4-+HUye%W1-dNR=0z+*L>B3biBiWoUt!a;B2oI$NkL9M4qpTj$Xo zx9%QKI@NjHoEcx*V?(&F@7%^5BnE9CMCFXKcRpeQ%;GNZtP=ou)ONG)gC z?$U&H>=&sK&B4DOmF6J{O z^cw6h%@l1$88LS(J&9UShL-lOD@=~xA{QXx|;+(fmMD`e)_X~6Kp zjSw=Nf0a#mk^4%WT4v6hoKADB0)-fqFX|^HC-vq{7yqGUdVoX9u!Z;c}1hZhs(Gryi_erYhYXdPiYQdXn z5H{N&Y)(~1DO+#0p}uo`fzQroDghEBDi|#Gj2(z46N$K-K_Bn#N?hJ`Wmj)}b0rTs ztL86>A0C`cZ_6*t^orNkO@3&U-^2@Ls267(`sO&L%yBvfWZNMIDl;d1a zn6qjyRXyGejj8fn0sX}cE;9J^bfX)M&UP=+d`mP9^lw;4puZlv+?O#N1M>oh0mgb<2e5o*E*&t|Ms=U;K z!U$eAV`Dmj3ZT3sO+H_U^Iblx^DKVi!p;Onp%zL9Q%7kTIwFvHxyy6aLmJ4?^V&IpcEloOUBN* zr9m)O@V@b}DHyRCocCt|-H!B;M5ErRhqUR1MU^qI{s(KyxKWwWXGtlC4~)Q-ia@>c zy&Yi_UMfEeV|8iY@~Do`!B~^*Q4eY4-tuI*l201(f9Y|rmz(kc|o)$SN zs2?}!%4 z$6?)7>ZRS_iQ{Fe=+g^%#V-s2j*&vD);yf{f- zfQdN=R?nmuAO2I)kv1Al?)P?2j$G{}y7pe@43C0GgA+p-9;#V272wI+paRVyMI9>w z^)Z>6Di}qAFW?S%q`3oj1??+@EC?ZxE0062NC4TX)2rI%vPH){Rh*(%1DDXawFGO* z7W9rBI~5w}2z!l~pr)?O-a>ONb5dRA#E{2(n$ zBe{;Ar#pv8HYBxB&0(xcCHI5ndu^6`^VAVg(v{i))pPk^x*c*Zz`Nk3#?f@sz+aV) zdc0CVZ-Q7EE-Il$0LVp0gF28F-!m~f?oF5HFp5k-_=eMilg{Wgdi9B+`mEI3tD>(` z2Z%zNDayS(!r>Y4uvngfZYV4))+>2$u~wl#rNj>PpN1STf%=agiNp?&2%1mkVf0bt zgn(;EEz6GzA*Ik;+>Tx;N+sx6^-{f>2fL-STtOa|^2ebVj@2M*;BDpvyP8+r(VLN; zNUf(>rfRH}kdm-U1yVIrJgQV1$dmra!PYAk$}?5xI8+PDsMOM`0aPE{ExnZuz=vG5 zj7fSahxQf~i0MVW0$&eEQLGqF3VqT%*fGJDPj0=U* zEcCSuARvVEwG$cOhXVm!8@Qw=8X@iSyByLY%~94bhceJK3s81ts1Io(QE6atm???< z5FiE}7=-_AibISU2<8NGs*4pg?yS_BR)&d|45|@K5b=;X;w|%r4oqDusDq%%k*Ses z9FtP2VkSJ5#YE5H&@cu6L9u|LZu-O_bSW`lEu!dq6Kvk}A#d`KvuAo@(izIsb23R} zp(zaQh6rNVn<>sF7mGD`^NRH9Ig8WMT_@20Ci0cS;yiC3)Y7CZqp4bXai{>VB5}cv zuc#@GGmoY6$_WQ1INN_x2%O>wpPiR}lbnD;nCHTIx3EYf^#w##NpcJ?WQL$42Xi^} zoT@WYsg#u=na(fJ>>MmYi7c>~15<1bQzIgmvcmkG92p$OB$O%bc7b%WhYMSv@4j2DU{<^;>8=^o2+vBa@Tk zlkhWFQu)$cZQi-2T*>LjNJ`2Nn;YC=YoP@SH4M)pVJ{?iFlI)^4@~QZ4l|Y}BWD;@ z*oet7nlwo&^hn7D!`|b&i{<0VTG3fl>YnnWr5BS@Ee)p>SA}+r0}omO28u|$77%1s z{VP(o>LP5b!5O%{dI5swt@{*~M!ATGsMy{IN53^eu{@^m^h2=~RHV}VAYCK#m4O3UiPMAn% z7#>&M1;lYqw1(lZ7F5d$0i;i<62+8~sT9rkU zl6q1-`Pi@+;f;-t`F?*PR~0KAczh5BFuuA2cFQ!3{YG&BieqtcLEFpIlcQ6Z9p{gM zY{|b!3xXo$Qz+d~QB5R1DS<*|hoC5oFVYcH^;4uVm|hOk{?+m0CEYftng87F7o!LX_!_jW7Cck1T5~b7?uUwpz?Zg!PiI67Re=rU zv*%PfdLtx?@|Zs4Oy(E!HF!hICF!QHMP(jHx1KCIv5ck4B&b%Db&3IlL*tW5P?$ex zokm1V`kj&^5BwhJ8`^XnuGdZ`Pr}}HSfH)M3EPrPSU2%BOn~YFtWy@v@g$Uyj;cbr zURAnfp8ZgehwHc}U&5kGk;+AcdaOKEtK_lrj7t zdq%K`fz>2V3AOBCDEAQs3{qMIa5v4jk}=$5QYfx}j}vZQU8E)}NO^^KU_vFP_gU6Z zQZO?0qte?`*G^4yRt8_fY+;VR{5nd9p;E4>tQeiTLzW{Pf?@+Ci$|ruP9_6p4-O|2 zo+gmt747!5voJt~?-2#ajeHBkquGa|uQw$T>K)G%f!ilYmQl{|gR6WWxYoKAX!MI6$v z;xSY0wul6nn4H2G$nrExQu}Q&6uZWmI!Hm$qyeLpl|H0qCc;596^wHo1OI#;{x3{e zDwxcGfmlVP(^A-R%{9Y&6oM++AXA*mm#{1*ZdMBP6+RZyIx?o3SY{*~$v6YbF60u< z$f4=c#CA-=6)q`%dUB7K98de?NmB}WV52{G*skKoW-8;e!-Z-V?PwODiBxlWWNdH< z?g%?$ugXYOHg<3n<8Ho2#|n*-J(Y5Ok@o8%U9y-0&?k_vI4$I={5DG6+#pmx?ZpDv zZhCxG+I{1@AtwCpmKy8YTAd}ApEKUPS{}-6B9%%XzzX{4l+eFeDPt9v5q7bc2Q?A) zOih?-V<7~3vUE&(FK{W*mVQTDTlLF*Q&(fym>88=SV;^}i#L>|Jy@Sc?PWes51t_4 zq@4{KEN#QD?g)iSvrLUb%nDedpte@4`D7#W=-#7CBw@09L6~x-r<)W)plilHw^#Q$ z*hC_)h~1%{cBclX_WFT3^I$F;=~nQx)Ax+#t2_&JIyDLzDYe-7wT8ype-G(Cq_~T?^gx zV0vO?QYEs8*8>B(gC>JBj0O0vVN9W2dm`-$g&YY1S3r(p3OI~zqh*`2qlJ^63K@P= zj{i96J6k*~K9p*OQXRv--+4Vu7~xdH;=@87i+U%?6W9iD_N$(iGAtSzhDU=HLa$=W znAU*foQ`HyVd=n%chVK7k|Qc&tXzUwa+D6&Q6oW(G-OEs=mw#)NIXtnDAw?sgF#58 zgH2esHmx>OuhqB;tbV(lg~ACmZ?@#@8>Y@2MpwZXy5un2(ik(u3_?5WCY->yGZkuc z9Wjs2?m>Xz;fF-|BXh6OGVC8VpP*~5$sEleSX2!0r<>9t!Pk)!!O*HZ48_BlI5@0w zNP$JJXP|;w{yBsi1n4H{#X749aiEHg9fJgcJpeRX7KLNRf*Pta@&gL~%Un7a^8_&3@KF8OEw8lgAfA zYyqHfPF^jJofLN56b+%O(=jD=hp46Tc*m6@$j@#v+S@MbtX>mq`m-4ew9nrFo=|ra|e}FD!5^RM*X$xF)S@ zXVO&d=5e4@7A!vb?dfRljVEPB3TH`u5h`?|UW6N%$i?P@!Dd?1E>JkFiV=C1%0;Bx zaFW3dSZ1Q>X_!1XGTaraks4Hk_Oq)L?Kb1Hv(ns?^^z|~s@1`pF+Dz;eq_21>REI~ z4x$g3?4!e}kw_!;u#tR@>5<3Gcl6j-QS9@t!z%^R3?Gy^?4 zjU5ap97hD&4a#Wnaaz`j$uO24^`m{wVvuv#avH=_u#Dmp{E0jT9$ zY2T^jkaP!CL0Q&?r(2);iAo-vhF@_sIR!N`I!Q16Zak*b0TzD|_`o6@NO{J=vSg~M zy^@WOaOWcLUOs}5sxmW;P&ldaLFF5Rg*!QN5F{rDQ=>y7D>QmD#e;e?>+sN?bn<}T zf5}!P5dQI*HS&RUb#WNOr(STHs$;Y*K_`IK;}g^SN3KM=T;gjYeYa688{h9U4b)~g{(6mtWr;fgjTTr-JL%Sbgd2}dTeBD#q(<1ODq*#!&->)`WJ(Q&{^XR6?di16OI8IsV{|pB{AKjS zAT&OE4Hn7a88-_F;sWxS&7`6+y;0>PDfXVG z*g_{=#7~UQo?SYx14Y9arLH=H)%{BKNFGZ8^&_2pg=4l{Styi`U`yRmOz5jeX0c~5 z&wbrnMJr|NGx;BS(k>^jAsuEel2@t>oC%Q&@z6b7#rOuYFF8RaE#HpP1%5@1p%|p~ z@jwu?BM9xIxG0|dKxt4kZ^-$&z#QgI@!-#lA z`NH*DFh-lS29b|qGJ}nwLCJn?m5OAC<6OXG6A5B!v4BCIT3QhVz9SL_1N@Ji0#mEm!9>_Qi#8>PQC! zV0$|UT{8l!R&a#Skx~}g&R~N7AC^ggCy8x86^pt?1^A9uMjA8KABFrJ%4)=zk9J$c zdp~}Wqe&VE)1#B&`uO$i^`Ex;EK#&erD#7?IrzZEH#R=;5_UtiB^yKWD0k(J4i2Ho zA}x)EMCIWB*W1lZ+hKK*?&{%ui1`**d=a6RwDcmBfnLvXIHu}FdTvYcv}MK&*04wr z@)-<^3^=qKE|8WSuE)U0wW2y0f!4cGuVwIroU4tV}q+K;`(_ah4}oM zoW{&;H@}Dr8_N_!)eky{w82Z*arJ$Ce$82LLk1R#sG)>QMpz4F?9-C1Mm`sH52KGZ zjR{iWnADx1)%SF)mLIOElB+NZGipg_Hx@In1SdOViJ9ryS5H>Oy(m`JLN1TD9ewPG zo`5^L+n+2-k;(&;oSMQGz)H4wG&`Ru&0%3!6L7*|jX8Hl+)K!39!HXe&ZdXknYd;$ zH1pP#Wo;6n+MtS9$I(o)*kZd}O)#qLOPL8%Gf*-^cq8n~Kre#`o8g)_+Ofa5I|cUx zwNgg zEnhm*5!CUv6=gco?FJn;RL6UkvMEU>FsyTf7_MNMD7Z0$nS@O4@Mb}>TlXkLOly)!FayA< z1!O=w$VZb2O{=MqGJ`6D+(%*P0gnXDI2Ov_#<4^*L>Rj+w_*AD4N`#Fxj)&1wGGXH0rME zX&dW|AaQ<$40_Y#e*3XnKD$jS!w=&+2-u-pBM4B8WO+fDqQ{q@muwh?Hys@&phK$+ z2>gLAy|$hsVMgCDJx9e#ni{WJGX%&g>OeNPO9x^-{iXyh)rG7w#EdkU<|I6hW!s>OFof&JL5L~GCtH~1 zGeIProrPUaI*JiL)rBLK*Ahx~9jUUCQaza@8AkTP<(bfc5mem47C0*Ea&DxUS;W45 zzd=kTOzuzzG=X+6#1zNppvi1;z#>yrj$r`lP9{%i79rFMJ1YC z&k2}Wd?a*iRIDaYjeBK+DAPOa zNC4V5%v)P^`>Y9TAe~P(%}P&Ms_!{SX;o%5qG+tZFG4)h|NM3{6#Lu<#asgRt7cU< zvb4n6ittd6L0S%3#>n)f#WGU{xRT5);*IJ25_uAaDLQ3P?e@#JY!70_ii*F}OjkD; zC5!+{=QX8DeeL!f$M&Y6JxwyT2eFn{Zd((o74K+5D(Vwua7G3e)WTnP@L3&6!|r)X z?)wFpPAu9$)!Wy&B2bgGy08tSk`?8M?PsT!>ZoR;s1PT&mn~(4s`7ZUlAo1c=F=pV z4l5Bz&62t((oIJ;jI^6ZDy7y7Aq((J1zJoM9xc++5@2zlu_U8bjI7Xj(pI>Ej(7nT z6lyQO_XS1x#(<#-W-O-4IKM=8B`gu-pq?+t0dyT-K!PS0tdF@iZQ87V+1WJO`$Xtw(i=_3+6P&r5TPR`L#RVgsP?yhB7mx&h&O_ICfU$ z)HgnTsjc|nDuzG?jZROVu&QgYqaj57ooYn#+fF2ux=9leICBL1DU3Tbt1QDWX;74_ ztHeeyn_OY!1%PgIG5Xb>2s3nR;zee}{ToP*=ow*ukO&JI+<{ufR$;MOz(Vs@&={%} z*w?2-Xh|vhA(!_<${+c#@ggu{(I_*yV8_27tunoIb2AJ$p}83d(d4rip)CU*>47oI z$WR(p$3SbaUV09tSVGxAAVoAlWRIy!a)gQSd2117mp~00kZd(;pjd+Fphei;LAaI8 z?^Ka6e?}10B5Vz^9;U$?qxQQ?!-AK}k3~UvWJ6I_o1L;M{&>OO2orD2vhhwqLYSLA z?<1YZG$SY{TZFb~ zL{sv_F+ZtarW7E)YQ75M2czhT43-9i5Wo5TG?LZ4D3s?*i1MnbWQi2+57ttz$rB;o zn7U4%P#m=fEyXkariwH&Cp*f>RJhcON)jajBiQm{(xcfibn#_O5>3Vr*WoKafteK@ zm?j+<6V!7$Oq^d^li27JDGW?eO(PJPW>SetGJ%sP#!JPMr>LA^pkL7BQVB7L0^yMz z;jHxJshDQBPKaiSu<7(i&TOTJyi(gx)A`ywUNSO8TS6W13gwH%E{VYS$rFOtta?bW z>AMC|Aj(#Igyln$_*D6fF*Hm0DR}=Sm*Bkydwj;_Scn7I!Cd6@v5W&PBrY((d`y=9 zBObmxA~pmLim_x^atpV}KSTfY3pHkVl#nc%aZ8)vC3I@*W5!v3i|MKpX!@)kK&g^# zPLAH+Si^3V=&Q9MDYE$!h_7N9`(q#$KLyL=M>~oV+Ym4cEjfvjCteLs#k)JZuk75O zaP}mVSH(9oa2jW;RpZzL5g)89#7FdzS8-qCR^yj%H5!-|&e!O*gl$IzwQdmC4U9;E(a)2 zy5{!fcPzWpy{qXS9?EIwZvo6a{578nZ#dIK1`V> zkk8O+%Np}OjX0LidJ+CE;P);&MOzQG?uyonc_(lo$iwTLme1pP(9k~A+SPPz>nh@9 zJU`QD3-Y!3j^%eQyUV>BbpXbuUu^}UY#*k(d%e@L26QAF$=XosMAP?MJGSVwZ@kcH z`7&^xBJI7grhjN<`G$tuH##j_gobsuuehW6&gFM4yW4%8P;o`mYg^aFHQtBYoR&xM z9OCCt>*l7h)-}=k6P^ISa_vqFo5H}e5qLfV-w=U|5x5kA7b9>*!)#-gUjs}59c*p6 z4K*5m>Laa7WRSEykF>WVZA#Nhn4d%VW4zrbD0f?yJI<$&p85p1Med^M*ml!M$Ilh` zL%P>C-4=r=+~W?m-Wr=~T{ZMnYs=tAKHTbTK|Irb88~-I+EuS{nL63J`krMfHM(2t zDx`iK6^fP>N1n%!e{+XvqxkJDcdWRx`L5-6FMFN)OY9e8aX)={}Ei-$uG_p`&qIbs)~ceSDiQbz1Jnqoot4S3dR8 zCm=SUVkzG#XICKKW5~z85goT|o{;}Jq^(`na9r8F@~MwOouZ`V()zNTx3C=KjjM8e zJsFh+!k<9eXOPzPJ;GnKV4E(ce;)B)Li}nxNAblGwyp(V_|O)xc(YHjM(Glh=DkQW z6pY^(xzsR021aocJ^|d{M%mPvjpFY|{OT=1T?q5Ty0Lu?9LKYf1M-XEYmjfRga>1s z$#Vp8Z1*9h8>DRJxfS8ePg)4y517ve#-ltNJcNk{WmCs2NoQzzyDrb-Uns5Ny{S(W z1}UNRQJSLZf7WnZ(-W1Yy(`i0QCA~-g!v&H$De`wpjQT=7ks;LYGv!1!P8~@HfhF? zK5Bol-XR!1;ps*jJ2f#qmsh zp8#K;mvG;Xs;q2XjarB4o&fCIJ9xI~=Fk*{;|L@EC$}oQ&$83@8vK-3rn#ciX?X(A zNj+|va0EG@u)^6N4G-4I7$A1Au~B?JKM#DvNNdZr$-8SC>=tR~unldu(PNRkHa)$F zYeZ-NDZ*1$IC*5tW*qRB7>LiO@MrO;={n6MmTl5JglD^K6Hb{j;c+Yd6~ZG+o}2cF zK-)MUvGUsChpcew5>wXGcn;a{$=1D1*Q>k7sL)5V{z5MIz9>geL$~ zmJBXDimo*`Q zQQ*x!u4F^we`i4A&%iE1IOnEk4V+gllqu)&#AV=bD zK9b%?(dd2Mm}^NNY(Q_*8--xXA=Ao7(l)Sb5lI@DZcT46_7jc+<~U*EF9pmtCNB-% zOYw}lT}=@)>G0^=D<&Of^i;CJxO>lHF30%{{%rYW9T?Y(ve#m6n8X-r%^h!8*}7J2 zRmy|Gk;1b-o(#5bK7#o(X*2PBj>2&rpSs*>so~k0!)`9D#8d=>mMP;gqUPq;4vo4#i15J&u}_(`5UbGG9YQO-B<9N}3L#95d| zdYF!%E%@ugpMjT&y!bknGv6T$8`=pnbov23h7gA>pKQw`=iu)u4Ssm-b~7A5H%V@<#1+Bcq6NUV%TjGWYqZm$$u5@)*fz<4nh9>$nY~d9+_}6ZW`E(Gj{)A`JbZ0 z10V7yjz7Y@+natztVi6|5?<{Rc{X`eKMw16gY!{b;cfZ|(|-`K(&>TjGkBB?t8mJZ z38$>sV~ZVkOvU+n-js`ApGOAICP}OCb38EN@5XavO)*rz4>pLm%jrkF4UYk~X{-UZ z>5l_8W#5bE;b5Kkq^)C28p@oFZw4@A=jh5-M|`1_7nAlCc((P434i4&!h25<-e-l| zb+g+NNo3xKe&YM`O?lCDE0Olaq`SgOXV;7EZ1dh(_#||1!Lz})OXS_xqbYwm zrfJlFwCRfWJA)@$f9k?U?Xnr+hA%c=JN$+C&%W0PFL4>XFO>h#kC>mlvhn>exGR!A z3KN%&ZyDfId|DXpI%pt#R>d3*lhe>uxQUj(F8=ZJnNb5Pj8FTpUer};4ymaTWj#60 zU)RCn9zN5nL=6HKv=YWI*E!99Rxl+y__z*NCxVYFwX@+f0sv30(;9)b(^7}J4kax3 zxQ=#o=^)oRTXRGOEOVayxAXDneYfRV74q!AU5E$X>Z{-;rwtFAEtq;SZoxf(`z-i! zz&k8B379wk;s>85hxl#yYQPg#{4|s459#ypJg?)ir%Ljh_9Q;)`!iw+e-mKh>(DUc z?*@$Z|G1Kb6%udS7dIF?zlnGQ#{iSQE-OFvc_M;;mlgkKh(84U)VW;fX8E7j@uG@qK57>e1xgV4Zagr zJj=f+0^b~gZ;8OSM&Q>*;M*$I8-crgxLNvdw*}t?e1sJ~72j*c|0d!I+wnWB__rdSupNJe75@i_Cv3-GWyQY- z@r3R8J}dr1h$n2v_gnEFM?7H@|CfNx$JYng*Qmmjd}A}24^v*bhtrNPS}^0ef76bC zO9Xy{PtOYHZ%{sAj=XH2F9Lo$V9rlWdl6RnRybd6Kri=bs(3~3XDpcXaG$1$C(M1B zHq8B&2BtiG#)6ridoE2pVeYv!Fw<|oAb=T<`Clmh{&iuvr8%h2w@@F#c7I!C#eWC! zgzfm%Ry=gOL)gThkA__X7{NaO2m{1N^`G-B_!6WitmHw(ueIX0A%d_S{|i?9Rfr&L z$FH;EM-f5Tj^AL#Pa}e`9e=Ao{=3ruZnxkp6Y7tVSMFt1L8|?W*pq5twg>m98d&-} z_MsY>@!XecV8$kiJojDN@%LIV{Gxuvlz}gAKri=SnRupuy9JLmNY8y(cKT0PFw5t@EIYm{5x`O(3ubvK3uZj` zYT5bcEtv7#t7XUEYr%}?ekwcuAq!?a_g9&C!rWhF;CzGna$l8+C%s#+Kghr*8>Hv{ zArsH^-|Y!tmdCw7CY~_&0@*P40vY(82Kc#O$HYr}?FeAvd#?pEe)#fmJon+)`5(4m z=I0(9JAO6xx)@mKwP51kY{87@o*a{&@w+UT@!X?h$G_5o-`1eM+-qZ}pTHg$1HZ39 zdhU-g@qdo^>-ByaGyi|D6)*L*;J-uq#{n0Cz@*=dy)g!#)X##SMf$CPQ&#$#70>kC zTVwLOP=qG||GrMoccKm;j;QNFHuj4?VKSuv^?NJ3aIX#Gf7hw9A$baJJ zdYp+T%(XBZ=Gu@Ab8X1LyBn0pwHp(E81b(G%(WcT-tWaajEQG?k49jw)0p@h8sInn zJ7Q%1+F+3W=?3YGRy@<6u;4M@C9C{J0K?!n1(?5Ma)~C{~0s zfZv4v_JqdwD!@0werJB-|7E~;Y*g>*5&kW}Z2#vaf3x#8z^_}c-VtQ{I{|+HNBQa3)sl_ zY7pK5*e-uN;55eHnogevOn;!^?(Af0iX5Il%O{ne;aTeizC&@^dHP z%fJu(2tB`rhZNRx6uuSCn*n#77V!HI0ULQ<4f@{$cv{Q*YP9$J0oRaz7x1zDKMeR0 zz+B5B{3KxZM@3Jw^Ot~k!am~uYVzan0e>3&;yzHqUj|J7fuhHCz6JP8z{hnG;`=V( zzr}p=YdZgOEIHZyJ1+uX0+{|z)}Q%%06z$RbpU4n+Xf}zX#an*Pj4B7yLK!^CVz=;`~|-{ryS6Hom_D{5a&D z30VF=0seE)&;CmI`+%=l8StNiZs-36z&9>a_6gHp3V6b5?*!m~hQF<(@eKoh*E;pC zG1HF&UV!{;(eX2YPoTVEz~twRfG5zOl>S)ZybAE3epiS2?*?qk`)@G6)gBK3?zQ^k z`vKD*ZQAQ2fUmIPzX+J)nURm@0N25vl%|hMjMvB1yLv4DY```@E(3f3`iE<~j86f^ z80PnvDZtMG-#Q(CE#Lym11RY|!1OmO`kI{^0NeO)2K-T!Z`%K!Nc@`soAp!npZ^N@ zQH!4sN78>B@OqR_e3aL}Vm$1<`!zjZiKPDlV2|so&m{fbIIu03JrX>F;rH>Ja1$xggIi&U_^Os{nr={2~8{ z|4o2v7XF6-|03jZvyT50z?Y!EKce9e1GdZm8^C`JeQnz7i-2wZeuMeppE3OU*GT?m zEIHfw&W*rZxgXi2lHSU=|2GYajc)k5l?sya1Q)u7V696fRBLxZvjmH zzXmYv4UYG0pZ@|F@67t`b3b64o_7Gg67%~fke>M84>*SM9@FqA0e=Af`)<^W@m~Ub z@_hAv0O79#UWM_K;~~rc4&cWzzPwWF&(jg{4)li(jc+qxynpM{+XI;EK}z0NI6DD< z9Qv(Ir{~KlS3^G-{^tSzEy_3WMr8bZ&}+uOD&ikR`wr{;Hv@hb{IRBeUkBK({~G~6 zYSI57;NOCLqPhIn2KY;lS+nfAdj*8m!TnPA|fp3>ie=*?qfuC#-*1t27eh*--->Leqa8iKJ zMf>g5_^$>0as6&T^ZzsSIX*tW<|FuC1^6|{PkADJcLBETfnSfLe-JR&FOB@Z6Y$4f zbv6vk`%onPCjq}4^Fbq@&jC)ro-U$1reARu@{{}}Kp=wFln zF~BxGe*t(q><_;0#r$6ajE}VcSx(G(7VrY>+ixO}@u#2dI9z`=^7JymHoh$pcm%L5 zA7g;OYSEhooB?e3R|kBrB_B5dw(;KsI0kt&^7TL@{+)o2TK(;@Nc>*_9=7=R&w%$@ z@c#k)M`%wnfb~5a`|hzPz@Lx34DfsOxe|t7bjz0m|uJ7vs$1Qvh0DjuiH@_Q6{{g_?$M|66_49yJR{fp>%=LfL zOL=k{ohns!hRWsIu|CAOtp#wm}d*Psxj{| z!s*E2q&i$vQlFj0x$T}eve(-UOe5aV$R3Co_U4M^8C=tr!wno&FH`5OB%8)cUf#%j(@pt`90l&=<-k51Gw-O&PO{~CspiaNY6r_j9t5uL zlvZ)MY*DAmWh?rr0&lm9trWY>6Hc|&VYoy9tX!yqn(RDoyqD3=e|E)qS83#|p}Y*Xmo5C;`F6%hqM$kt><2`sUi;8Z}`ruKK_Uh@lHh z)y3RsnEDLpui^@>QjJnn%9P4mkIgoQJvIw-&R0xMKnvw#d6RPi&05Z~fvPi=qx@aq zanBmY&wM_!2#Lyd_9nV;f3UeiRbN>dg7y86OTyIIvEg9-NrZ2?_2Ug?; zmnC71io)r&s0Yt8U3v#V_^@%6#y+H)Aq~%}50~s8klWzY)No3DNMGd4!t7PsxRB<=7zp)HJn+OozE0XTj#RbOl6@|F6Hx?nZmZ2LTOuP=QecQZHU>1sI83K zDv_6Wc6TPW)#h;x*|uYsZ(Z011yn%4erZb-O|r0vvgDrT z^dTa|ZTGzRw^Ex>2oNfFMM)^J;Z#SJ1Tn-c5gzU~%^vkUt-ifV9=AqS3&-*v&PmtT zo$}HloO2pndW76KvmU2whp%<^?+`SX__0(gv%dc+Q^~38;|)h5mxp^kBTJ;s@zRA7 z&%FkjQ{bt8A)v|5QlzGG`v>p)3My5}_TnhmTzNrPXX48%M@}as1>E@d+g#Y^r7pr)HvtgCuI&y#b}tsHHU#bG`y!! zsyS4}*ESoWq?2B5{Gls4)fN zl=dcZ>ub%KFLWclS2g2_mhCRPg1D@{+Z#D(2SJ=t9_~I>S6jGr{gU2A;l|nT5E-21?Rls(@3qBf?ge$^nfoFtRcZLC z2^`dKi8DA=If@#x#n@T((dD?ItduXxEgK$gw+C0I2h$U{me9(I?uns4oA>nX2KD1| zSu`3P!?xT2ps~s6sE`l#T9)ItuDTW_=oLL)?S%Ae)ewdz-G7z5DZM1j9!>@wAYVGR zq)Q{wq-Q6plF3Vw8Cz4Upg7cPGepyiL&p94NyF?^ zrX8iTg5FMIEW6G*fUDf6L)}Q19q4$6`q*j$FE4TqgTbTF^0IrIy36gfIOsMX2oyy} zU9sTbn3WtHOL&uqLgG>3bz0Th1X|@<-93Drqep?LB2{9*`$MI%rSYVRg+eqiiVm@j z{Sz0Ibwja-dz6P}fH=>AY|-5nTy20GAc6+8dVe=X2P0;+T+FA+b7;0|b+KNnLbPp; z?65haY(m{}pvO4(z*TV^%s^wPC8*pjz9Tw;do1^O$#D!X!Qdbod@qefQQ&sTA-3YO zI}ev^d)1z9Zx(lMgUI}BsKZxk!`CQ%IJh@FY+kE^c?Du(yO#*5CDUV!M(}4SoUtt8 zDD@?_2KwrvvrssZuk6m2(8>pND-#ndE&W(Yu{39N=<~0a6@pd2m44@ILhx$I3IFPP zRdp{S*ymI*XG#@tEPK9^*x@Xek7MRIJL{cps*EoN}z@oeEluI51fg|ui?#4_0%>xGIfV(I)sI#Yr|_H{ADmD432{mmIuFmfoZ zi~5Q!BScRfO#1m?AInkQX4J3XpnjP;d4-UShN{SRAjVT)aU_fhb*KYVLtbja)BQOl zAZDah4W(4I5URV*RJM$ph*_u@=VpK=#=RQj^dY+m^c>7llipB0LLF%F_(IXw2dbUa zYz-4+%*9IVaS89hgpHeIjFz%0HHPDY&QWo$xn_8eozh5Ll2^fd6B!JI!Ie9q2G{(g zj?O^#VR!J(lgO=6dY%-$dB`8PQv1~n7P?<>+*Buwt6B_A?zf5aa0e1>I%%hn7Vz>i zB#W5>gCj6dJqRI;Kz3ToIyHi&I(JYJMTI`VJd>jrK@HqRn%6R_EMWn=c5sd7oVPez zItb?ooGsEwhz5tE_h2gPZ6Z?x-%3>2Fo7oRn%epbz#L&;Qy@7Sm0e zTS{KJg&a()=zT!v)lDJ2-t-t>3yFQDjF#C#u?G31ctXpKdNYuUWTu$ax`}9{*GY#| z<6(%sK28~=iny0obPqM4hjt!Pw;9;n&=wusO;ub1ukPznS~n24>g-}LqUxH9c?AtV zi1Q|@Cl_j&8T{5N>UZ8e;R*rom`IJ&DQ0(Pr7RNNS)iRIemJMovR*n>ENzxf%pP&? zoj6uh_vjp)Om{-IDuo$L$dDqJpQ+>C?9y!6JZENRD*0muf_^uT8O(j=f;?fUSSaO9 zLXhrsQsZDkZNkJ82yf?$`|yYh`nKsG#RqO+oV&^Z{V|Uh1LiZfU&#Blt70*ywhMs% z(ErQNR{R-!+&6LSV9c2o@47@gCf#;`Sc<|5pjsdQ%qX1BDv5kE9sdULhb0+F$9+>z zBb~v4V0k8-#vjWiK78V=5!My_kHI~K5I!><*Bz#pqvmi6n~%YL2myXNtS3ybYI2sb z^7_NF__+apz_lm-_bV%%MIe#~T2e zXU2SoPWCscH2gMkZ?>K#_N~jDF07H8kKxN7BEaNfT-QU(oCz{se@wbZ0o&u1iPVmt5{#I~h(#JbxKU_qAVNE@y_AluX0Fr}eK1FaE}I2k(veAJcA3 zt7Tc@o_^nQXV)fmZpO`sWI8Nq$(!0*RwUiJXO=tvhP49oAs&9XJY=W4;M>ccf0h{a HWzzj$600$S literal 395000 zcmeFZd0bRi_UOC!Ij1H-C@53}(V_|zL9_q|oXaXaBBeq!gVChB05t{1h(i*S9xT*E z)C?%5qmaQ?lhznJSV|&E?7|_5v9%kbrg4g>iKUoyib+m^T5qjWkl4TX?&tG+f4qC| z=iO~Sr_Ne?uf6u(-#wmv4p}v2x=1M{J^wh8NKjjBA?guuFXfela6~bJ=m`&TeMoOP zo&U2T;0gW(;@=A4Fid|om!Jg*pQ zzz8q`i~u9R2rvSS03*N%FanGKBftnS0*nA7zz8q`i~u9R2rvSS03*N%FanGKBftnS z0*nA7zz8q`i~u9R2rvSS03*N%FanGKBftnS0*nA7zz8q`i~u9R2rvSS03*N%FanGK zBftnS0*nA7zz8q`i~u9R2rvSS03*N%FanGKBftnS0*nA7zz8q`i~u9R2rvSS03*N% zFanGKBftnS0*nA7zz8q`i~u9R2rvSS03*N%FanGKBftnS0*nA7zz8q`i~u9R2rvSS z03*N%FanGKBftnS0*nA7zz8q`i~u9R2rvSS03*N%FanGKBftnS0*nA7zz8q`i~u9R z2rvSS03*N%FanGKBftnS0*nA7zz8q`i~u9R2rvSS03*N%FanGKBftnS0*nA7zz8q` zi~u9R2rvSS03*N%FanGKBftnS0*nA7zz8q`i~u9R2rvSS03*N%FanGKBftnS0*nA7 zzz8q`i~u9R2rvSS03*N%FanGKBftnS0*nA7zz8q`i~u9R2rvSS03*N%FanGKBftnS z0*nA7zz8q`i~u9R2rvSS03*N%FanIg|6~HSM8DWV)Yb7s{Rvz(aD4{XVYvQlN!$>2 zq&xf=+`oXU8q@wauV*9v`?mjGpZ{;{{%d_6)PF0fo3+)7>Bg1mHG)mIUXl{l%< zSgbv~OF{H#dkE^OuI6nq`}t|S9Irl%;kzLo<9Jag(PWa;RUZ{iGm57cSNEFPYsPai zA8VC$B%Y3VL=whro%+7TSFf3=nIU-Jmj0jOiVw%zh#Ie<-FFVk!(|b#;!yEa%=pk)*j+cfUyG68|cpN+(lS=6^ z=@m+bU8mpqd;p<&rQwV7> z6#wj*Gr(!Abs3ABJl6hcKAkDerzJ7bk6X#@t6JhJp8EH&1Gno|m31|@s_JN$j*`?U zH;tQSnG*%1;<`MRngc$f*Jct|iDkE&iv64rZn)#|R+BES?eZ<<6J+RNUu3cR9mR#? z&BXG7#e6BhpR)08-4ZXc?6@t2{&mWo3nO00vXY@8?%pCv7~!amNXRrypL)l?bi3t{ zTQ8P^XSJBqlrWRro=MEwwGb+ZuRv&s80Xq%iN5@yL`)fELtF<1*-s&F-s?Q6FKUM62C_dBYp%9Ex^I+At^Uy4p+K4jID_- z)n+=OwG$s`?UY;I$;!9;ib*R6h!N3(SSmsir$p{LU$gGkaek z=@4g3FAi&@#x4&@_SNm}KSo50djC2Lf9As%$Oy2AA5omXa_`c^H@zj`J*Vy#-B}?i z^c9j|Kkj&lC>#}%lF-{P)AA~ssVM)uOH;1vkDke}DEAsK<)IhI_bt+I^jjpmmL&D) zztwBr>CpoH`S)4dET+toCY~$vl|GeSw?%ryw8i&uZEN&A7ft5s6zOK~+c5JT^oeAx z^swomlw~S${XM$UHPA(dA9ByLtd$^!ecfjk(z*`p*Gh}gPw^IMaoJN- z)=E#6MY>@YP(%MyAM{OcJm{NQ`vT-vXd=mG?*`{VU#{tQ)?HE-*(GI~c1hWdyQJy0 zyQGs@>EY_~!#=&~4apW4Qts+p-MYp1sCJ%ao@JY*+V^kgTIo>}%;`hS z-sm-o%56*6N(+HG@y=V*@4DZZ=c)E7O`lo(cb@-<7?*h#t*>b&VM1LDE${NS#<PMJ zxa1HwKbQ`FL&{G5)W_MmUxBDcEzFPWGzfa19`$@Qq zdS{Edqz}ZITPKx_a#cuFAF+qtws3!lOY^CR&Z3*Unp-xz9<_?CVWY-k|1@mzJ*HhN zJ*MCBS=@eVSSva7#BeR27!LYoX}3rYqT%JRM6)-c!Lr~;(J^lRCDcR!#Y3IW-ip`alNKymt+BHu6~Pe9>nefYJRBQJkX!eb2Zo2<=pWv z+a)~#wLN|-#IR$XVI2pp60x@0cT;Xr()yV^VirLUn-1$N@qXsqA}xa6O1vLCt9>on zYN<_6h8=Pj!@5DaUd`k9gKpw7iKKk9E7<7oSaVm=e2RNp8mE0#8cX~gf4W;DR{Ktx zdX>K+&5%8Aac17}=q8J>wk&(x`Y@~zT9IbX5$9N~cl^uexQUU^w6?yU&fmT|?xd{} zm{yAAQ+B({MWE5DuE73W?mXIhBH}<2X(@Al<2o`8^3p?#6Rv&avQ%G0RfR;fRlbSB z{?3w?pt4ySphad`$}C7ps3Xv>9VLw~>(`MX#GA0X?J5~=_BNG3&oRv%z$Hz(bNH`9 zMcI^99W{TYgZbpO()?nfo-+&-*GdcYf4#va^YtJVbAxx^;9+zxFzvdL)Ar({M{aDN z>Ar!zRJefsQMe4_R*pG#W82IlH-g0Tpmk=cJXfSARtp}GZDAnix5iUt_^l_>h|yH(G#P1kM(-k((h%)McJ%CfttO!D=UPa*CzEsZ_MK z{xcnWmBXX1DE1sQJOg7NNBZ`6n9z*X%w2rhn|+yjhNHvTO>5B^A)eZ<3QJA*Qj9=w8oQqQ@yf6XpRhh zA3Rk{@!{3dFi5F}S=sEYmWEypX$=br?NZ;L+4}mhUp++Kjc6p$v!B$19b6N&X_-Np zTx%E?;VQS#3p>{hx>g2jvR2%_F76sJ7@o_|I|=J)+={FAS3D}{L(kOF&?nVVfXZ3ic7UH*;%UF5cz)ZQk#9k;E<7#B%4Tt3!%LU$lp-*C|to@Mgs zwB)vxgNt|hisBCV&ZaH3F0(GTPHufY)zbQU8jgsEul4kjJuvgW=LxBVossd1=b-Vj z2lq!fpE{5D{bY^bZ^_bBR=fDja$o6aW7GBJisapHg^>sUQJH1F=lYiUo*!K1TRFPW zT4Y^h)wm*DF|PP)Bxy<=fgYW2GA`R$Y%Jaa(md6q_LzMzGn%S>URb|Ahm|(QRbg@8 z3Ed}DZk+;qJR>z;bZIIoi7F}5O$Kp;NRrx3)6+9`UcXXk=J*fR-&zmzuAY>uVY~~? z3weLXU%IwP3r&WV#^Q2Iup4tyR4OXoS*muIFI7}lzJR5GaSSansqONIU|URvuWD=f z5a)N2G^yd*rhI05R-Xb8Ssf zMQ|7I<+{`@=cXZ7bL;CSXLKo!v1Nx~hg$}#u~ytCjVD1{)m_1o)tc4%dIr+BW^RNY z6)cv6g-)!N28HjFCYpriQJm1+pAXyZ@A#-wRS9#ko{pObd|)0n5%0kgl4SPoE>Ts& z+zj-Hx2ojpW&(SNlO)Eq)uOoAt0LDzKsw}(f^n4r9`!I1662~ReRyqjwNGn;kyI-* zTS8!5)WS%DyHI-!)+EvzMqotUJuQ)10=-PtdC+G827wl;_C2Gm_7&^5K}-4ft#{3f zo&|fQ&8`DoEv-Y7C*A4TchLp&rbz*P;(#y0l+&b{Jjb%XndA`IL7mj|^{!_Bq)BZ* z?Az4U(oBY|>bSlS=8qSq!6=_5u6LPR20pRB>uz)E5K{NG>x!g43aeB`$nD8=zZB6I zR-ndg>k9+EcF`eQVf`ZNh{&+c)px&~0;?$pk`LA;r%?Ad*n!Y`Sg9K65M2YzD~&g% zOzNoG_m3${VgEc${PXQlS}mEbYFwdRv^lOdEDmh7ba=JzM=h*s&Pi==?faye50%%* ziC@Az_C%vlcc|OzL?`+WhdE}GMYuT4qH%2k&f%i6qFPG3x<2|>uUFS`!?*aJ)J|%1 z?c;|}YFn|7!td#Qyz%{YFwd9{`o?R4u0CjMa2MB_WxXOrUd6tHJtHQ1`4s3szdz(& zE(UF-UBO)ztF86*aoE4gGYf!Mf!GSOWUF|6%3RUfvUurkcfOd7>)r3>Ae-QRuJTO& zcKtF->rC$G?Y(KszX=!chBB=V4EjS)6RqPVcBLy2g(xgZjKK45BRoEfwiR{`qp&ut(q>bMbkyR zmAcJ3r_T6t{>$56#(fzFctkjL zTAc8-ppOY(3r_&}?66k)E!>s*UD7Q3TFI_IEDeRQyy9K3k2@@BAv{;V#;L4~3Fk{f zDl5aSCD12KG2v_Ao&on`CAunj9(i3=iTSVlE6!H&CS(4?H!x&X+e3f-JxIdFHHL(AKCuYJKQ*L$S#AU}#n{5v& zjhpUj?_6?4dSyFYWpFuTa|X;DFvI!{O$&1Vx%5+b<_^l-+|}B0we$mt=xct_nIniV3!Uj1N`!uk8Ru6M~mi`*W-wbmJ{!aPFm^8hG%79r*rNo{S;=@ zD^k?4%4mFEzgAiUzk9PPqj_gqX+i0r_1{Dvf{@+QDq{{xLC#?3xYGA$?!2w$<4e<> z$)&JL#F$%VjkqFRg02 z>s_*STK3b1He$)159!lLGCr@BThy1zY%vZ+ve59lEoLP?eM7%L2FGAT2HI-1?1D$JH=V_kLem?7>OIKV6v+Qce zD_(s_iDO09saA7;C(O62z2=Zpt?6L z5Oc_>m190}5;ot4;uwd``C6N^;8?5E7`*hA zHUd(u(YDmt=A3=3m85T6I<7RhB)!yDl3cp6WTY+1alb?IkH~_P7}#TT`YL$ZcD}G5 z^4lm8vg%SeNUJVVIp6+SF3=_yyl^Q3?k`;A+Fx7-D-g)53szjx!F|O=zWv4Jm9FsY zGX(@rHCNpTg`Q%8o^tC(2=oLi^aS4x;yw=j{bjh;!gUI+I=H@p>mP7!f$J<>WCS&n zq11c?@7(y~&|e8bBq zEq~QB-?-6(Wui8tq}3Kr{T}>#tNTvtn-f&JU*c*@OHY;dT0e9B#`RyXUspw7N2YPb zyHZ@ET&Hgdsj&MMV6|_J0F8Szcv=RWv8w$+wz-b%nrMf?OSx$K9Qze}w8J9Hsw(ec zc*cf2+OQr_zy2_%WK^rCE5riFyc(m!2q!c~`~8le@7nD@*o_XWtY;h!*pc`kTX0tY z;$U0wjQ()0dd)wyc)p&Fpx)#z^-!sv81!*UpmOd0eK?mw-qnx?wgd8)>uJy$P1SE! zoxY_ta`7Y~r_HVl*?sy}x8IT`xh1Rk>ST zuH3?T=lD3U$p>@Y@~W;@FZJf$6ZF(ua4$(5BEpWtM7_SdeXC4|33b6Nr>!QgBkij) z{Uat@3*=Kk<7C6B>^8P#OuHUaKJ$4)B zDp#pRxP<$S60n97+01Y_lf^T%uV<{QqTYp_dkc9z^4^=bxA2SUJV=UiMJ4r?+$Dx3 z-e3|gQtzv>93;!@WLYE20UJSKb(mzz9^4) zKkp>ojFT=C^6Zd$KONyag;QSz) z?u3izEq5C8;A|`|r!8yNPH8&bmbFVdsoe#qGlKoi={rH*39`}tM)sdR**jbIE?;gfhqJ%plI!c8zv#6si%QIQ z9_FsE@3w$lu(@S#@wN3=*FT>B;RzNtw~&rj~#keZr5 zB(mXQ+kAa=vC>F4j{`c3eS-0X9wmp58fnFhtg*%Gp;Z2ki2F7h>`BNKY5Jd|ftz<7qPaq^vQ;UwJOk$-=poHDvQ1k=rtE!BTUOW^}P z9CkP+@T2Y39172FbMITl!@f}G&gN9kGUc#OtJhshP2W02d+ELARKDC2aw&D>2Nunx zcv#(qWJSYZ+u&)@b~vlxgYEhaz2*H$ujU92pIVIfq&j)!9T*+XM^Vkz07s2&3Tnph6;vRxNxa2Mu zmkW3M-Ie=^5!rKb(?Oqemn8b?N#(qvi)8pYYRIxh+s!SbW=*x>?yKCQxCDCyy)JFD zYf#d_^E+Ec&#rW(C7W$eH7E>Yi+-rhjZdvH;A#~21v_}-ynvgH;De~dTp`Bbr?>;{2eFn=53&Q4N(5# zE_l;&aB5u(QmB>(>9f0kUN6Y8AK#taa*Fo1U-LveXxdx&4g=Z-*M~;IV!`zs+rtigof(wiT8(S3sk)bbJH!(ap4?qR`@1a}{|Ng&f?u&0NKWLQ9*|a3SwvVeKt0lW%hx z`EtH8r>&2*_7<6~HVS#Qx0IRIJkEQ@x2TZDS-nn}6>$|3$~jn(6sf#X*b|*%QnX(hSqm$b)7T&G{0N1;_Ld^^cpmkpb`~4dw!L<>?P>d5y9U=Gx$k}seUfAm zGuE{>2>K4nu>O|Va22R1+*oDt3UaA)y!v1cWPURbb%E13bx(bQKExUcn*|78kz?^{ z!M?IEQ_>#hy;^y_AjUW`Lp*&;m3~@^cT#Usr&$hBLdoMhoxjKfI1XT*t#BF6Or zofJUWqwpp~lj9YFdSW!4vh*kF6*`rFQZ_q9W&27oPBg(f(&fYZX(wR zpM6>b#wa&Kdw6g5SV8Mu^YKuIM6`okFZRTgQSUjQT8>ec6Nvc-#DJCT`Gk*F$YlUu zM|;A-*XKR*cIt(vr%E|TNL$t86~aI8Vg0d=r=|W-${jwZ5o-BjAdGq|9`LaR;(C0% z2H{W}DGEwf+9N~y)erdoJ?ufq@}3mWf`+>ms5O+~8H}5aK2UoK6A$%6L{i2yX zi(5m>zr00ueR)gBJP3Vq-F>Y=vBxB;2SZ02QOL${p1 z6}BQA_F?Y(A$tS^w(zI-$I2GeTYVqw^RbROGjx#V^evt7ij=YG^sU}mr*HMm><8(l z`)OsO_yvrIFK&@7Ur1`_7ceTQcj|qoQL!h`3XwqZH-3dNde3BO`h-ML4e9=VFW2}r zJhk_fD6zXlr*DN$g}owpcup=+B9v&UTq2a7h7v((+DIPuC!J2W=fScl{paCrU7Uzd zj$eax0j@+@I|&l>xBEgIda1uZ2Koo}p1zNL0(xtA`1jr6jS!9`4nsKJYakr&)!pHB z-QlOY!%y6&hGa){L7GD+@1J${m|xo03FH1@T#JUndSAa8+vFznde_eW>rSe@<2@dm4{ z-o^qe%xkm3zSgJ&wow!GuumK1=lAGE#T%z@@#~3q(LK(Hx&WggvW$O&c=PY^#?UxD z@Z)v-9^##QPcVk)c_7L2n10qhl~GZyl~Y03XyToA&)^s$mOIQMl$eJ+mzUei9o8ld zUv6JssLMuadEW9u9`0N@R~crDwg1*$VGqr|U^m~{A+K4MCJj8BB!T~UzZrfX@vD&0 z8eHRXU59T_U~Z)5>2O!W9Et1q5SzEUqVOdc5l$Epm4$<*!#rL<%1LE{jU)s(DFy{d z*@4u8JYZ!X1f-Jg^KS$hX#$0s!_&n`ZZPrQxZBH!IuB&%Xg+~>FW(K5L(qbFzr8Df zk6yQV%9YbMiT59ORRMb#DfCg|{qnBSQQ?5HEUU1?9af$SyFz}x!aCr-gd|dP@zndC zz<=QASiQ_h16>ghfCDPOEd?bLP+SrC0;U_ zRKHafyO%3dmZ>%go77pFX|pBRhixJbZV#RcEtH6XtBfx0U-hIU+~%wB^o!`#oZk<9 zbn0u4)#5wwT}GL>O&r~@VSPkf*^<;c&Y0lP6fTKgP^h>)Me#;gYrCeI^5Zh!wq&?t z#n}qexgpTD$z4h@war-q==FV1-q8XUU+v ziJ61!Gok%{ih0jIa|$^>Q%n;li+Ts~zT3IeLG+a|JK@=M09ak}U>|xIav+J<>f;J? zLHkSR2@kHQZ&&f<8`nFZ4Ve?qpd?TA7s8yKcA$Cw~d5iZLRz;yBYdP4bNMhxUgx4i^u3CS^|$ozcPYtQKVz z%FcNBityM72fv9V(Tl3hLgOrp)ywf9L1~61ht&UWWm0l_JzvR6<0V$+vk zN8_kbC3-#lUQ%ulwKidoS;TL#s^G0ciTG{(&h_(@liEjpq}duP&zJ!_rEEvMB~p{e z3w!iCaCO23{gb?qK-AbjH;Dtq4UXVKCA^C{1n*+LhL+tVS@j{}Wl8Uh*iX|j#OTIh^xrr&3c~ zozd}wgZC)qxK#|PAl?H`LqoxkQFTEL_y)ViIR}2%z_%$D#aj3RAgyu!5L#C_ zmS}RR4J<_OiU=IDDZ-v9zy~RY0uQbLuv|e*qxIM}pU7KwwLwF?|1%`tX+fx`%M4Aj>27mu+p>6fs9ZI~e%ECaS#g6eRc;9#AQJZmiN=S!l#i9RE*AMkbP3g!vq0--pKEXM zaOXpe$@a4zy(2Da1NaGtI89WXJ>UL>{cBGKJWmzFvte=d>HY~`UZEZtl9J&LNgydo zkzU+m;URUp9pVoY?;oVN>_|tPxT!`z-ROv^fv-}$1(M!A1o{c_{zkI)kFO6gnnbYo zI;8JC!H#(`QD=jb!_EiWPeq;1MXM(yp*ffsWA$DYITn4UZm#88Zk@;Gc*WAkU0~PQ zL&V#j5OJF0l;?Q=crPz(w!Sb$vOR83hkz%MAR|DUpn!};5 zzU4O5_&a9!6JYNtL5T-R+bv<=;FE&C<265!Pi(166%C+;-A$E4NBeJiMv5L!Ml~@i z;N(^<8lm(NP!|qH3BNzto^f~!?3ZxAfo&EAZT7i4cup;R8!BWgrs*6cSq*QkqcXMj zD6x3zN+{QM*&f?E)TR)lR^mCr0eE*34DXWgjFoub=@i5{HDM{52Cn;*m3YNY*h#|f zF5@uO-}Sr&&*)p>+76d0TV1Vl;CZH&*Fot9c80-eK%L*;aSFc0g>RAdoSDxSY~hZW zmBE=hc`vgS%78v7yidTr4eA-y0*+_kP|$yXTYxt_-$(g7{_Qu%Y`1it$vBMm@=nFL zyqcDm!4m{cUR+0wGaOEkl_{J{#(a@346?gnke0C4z`}L?`qcaG6}!)Z;Q`f^7M_s!XIhju9Lq08;3 z>O*WH*)a~7b6SFov#q%MC&@?ZXeAv7W3vcn;5GTwSmWW0pW=B5?2D1L*%C>zB3f zh!u`+RNOgW3+3$DlSbGg0)AW3=P~GOIew*Rbi55~A649}_jgS7J7c)S_VYW7;Js-s zw%E@6>qS&Pi@u)U;K4F9LY_VOA!V3Fk_GW$AdQ^;9f$oSaa#S(qQTk5HuG{kQ^x0l zo%u#bxkacqPRn*gv=t8@R==YNcC-;Y^5;5cDJHv7GtaWLIcM$2-%%6+_x|o{MFy{u z!!PfkH2Ni84xSS#99)~-;A*!I*VTt*!?{`gj&^7MEZJvJJ=C;qJM4?Khj$c#FYuo2 z_@@U$oe{0DC&9PDUJs}LH*$kLIkL;B?%_qxLN5ba26+b$XJPjhK&KK`o0fC_j+*;s zU~>o9AddhUE7b0K{`VdU`X)(yzHUvCvF2EQfJ4oFJ@nT)|IQ*ESTCw4iG@(-(K8Kg zrLzoB7YkfF^3UEPaW2abg+hIGyLq_U4NtHMHyo3jL^{O!o};?mxoTt`O~G*I@}~;v zaAo};KYPEBPP8_O>Cj&a9+hndwH1oiCM7@H{wK#k8xNATi9%nZkXB!BETrRN6z7T( z+KLs))-(B=9er&&GkkLmYr^&Xokd13&tpw?=1Yf@#lL&rFA}|a<@@c1a4(BIQHIB_8K4M84-JAq}y*TtQtSvc7dFvURJ>dDLudTTH5IR zQ_))L=Eui1@%&D+vQua?GzkJpv^EL+ZZ~PRn{%3Y-66L!`=YDbO}sF++Vjl1hn*5h zht&k~h=hw8EgG272=14u4gSJ_K>k-D++dZ%ISA*n_30MLh%s(CE~Bd1xhm)zZ=BMm z%${RkEg7{iOB%M?qoMRvk9OKd(ujEoHX$+E5#q+vKm%N8fpPfs=f1ajfip|~dv2-g zo|}M-Uqui@AMxp&#kMQbp(Aizg=Z#ph(q0}l+-|V`xLYHCFcxBL<;f3JLi^Hog7FX zfmGroAZ>C|_M1ar9nL#ksBU&~xfN*s8Y09|gYU z;8POn!c&~}CmllCA3V=a(bPpWT#;6m&6pz8Y1*A*W=vVtw(O$~j%)jAQi!`&(mHFU zNT=HH6nq;x2dtXAt$wQ8)9&o&@espY&q>D|xYTKnz;8&}ERSHwg}vgt9-8pBhfApR z@Cn;ILc*IKJf9!}j4L>AC;j0faauyc;Y!u6arCo9;#YalBZKzSyyB@Eq04j3@woX2 z^g=>-N6M|%$rn`$QF}Jo@viDO@Y_#Cn%{6HJLbaO?QANfzSbCMRHINF@)M!Ubm2T%4! z$5#HtS${`smosL5V#j%2@9|XPyK31+z8lf@x@^0Rcfq`Ux!d-p=Ro`R;YJ5v4<5m) z-t%Cp)1IfGggS3X*stZ&LisN6z0TsEoI96XNRvN;@)Q*sy%Nl?M|q?DB~Mb}TwBq8 z3MVu0w?1;N@2Y-;67GOpIVj;i55`mm%C1_~zW5`5#}+y6&iQve9~5R!x7jXqr!NJo zf}%=QuXAvIJ4=MOWB4^5oXEIo<=g=jp4ff$xgLMV3OW6)^Q&avi{(`Bf^RGMUfAt> zsV9B<^}+@cf0houUI?ctv#;k}FXZ8lr(~v?>5lV?sB^a+u&b#z!VC`Y`txD^?}9IA zMma?zlw#630^hjBesmq`i>dp1$lz<9bZn31=}@QCE~l98xS+TMwN38Yep^lE!|FKF zv$w`r1YR<5-<+Be=J*7(pBwS!0MxJt54w@6dX%-D4(f1%C@eG`;c$eDhy(j<8cR^ zkR07>i4;5P%`MazK5d^Qm~1#2ICWCr`eUtYEXv(n=0!e2(hdzdXLAH)9cwjPbFIn` zbeTFSay;(3Rq*aek(F!h^l({{rw+cMlgDnvi-c$;ZopEvM=z{574oWP~jod=7BWg`HMo{#?6S zWszn3thsPvxf^y=u-UQBwSVF%atxVHli>NQUI+8#6_^VGeTC<-CHx)A&P5KDNRxFi z_5$<8yLJAKE?+J5mv$KMUwODBu3qOQs~SBzFBf*%!zG7x+p3e-gY6I9wl|^wT#@DL z4S|t;r~U#^^=G zpmW9|9qgk=!6+?)GMbm`tj7F-`+G)ZqGJuTqA`1qV>ZTGgRQgeS}**CVBLW1!ot~b zLJG4SwApMsd=o$T>;vQXAs>$42E{*M{Mvjy;=7H zIhSO86IyJ;8>g#VQ9*u7^uNY|^YR$B- z&dYXK3iy23g}Hm)oyd8>ycEde=!1E{`x9%E!Wa&ocv#N~tn-oer-2nI!0_N1z^CBX zIAu?650A8FHYp-A?METMqYvcA7n!Od&FlyAYP(^vG${;FBY6J?UemGMJ-G?>GYaF| zoSB(TFLit4=JWZc!&r9Skp*uKOw|T&F&ES=aqT3(%o17$yWpJQx*hFX)58PtXM`ni}Is1eL~>-?Ni?|>SZ;$r#Wd| zU_|Sz8{lrX>a3h&_I!Ls8V^rL#Zx)h8KW;e0l_g5Rfi*jcZ4|RI;O!`DCThWGr+os zX9`X@m#A<+3&4H@?Rxw`tqA@-yHn&HM;y_G{o?T?B*+?_x7tAp&%>mGkKg}N9_xQ8&$zoEdq@VpSH)grK2Ro& z`DFxWzzA;j#~TeWg2$N7IQ00gfA$&JUt~i+Bl>7~3NEum!2W5^I6iSs^6BHcM zD>O{2g~a;Hr~Y>Gn-ei#z4^t|Ui<-isJ{5e-2j#$fJ(`4B-=wHGmCw^vxrwN6tbzE2O93XDIl) zTKoKwjH82(O+EhR7cpObbK-9&FP}2~^OO2#&OY+5!V7yYhh44se#*^WKmPP{W9#*f zpS$4cP>5CQLv-3!-DiCl#xxIj%0{-1+e*Caj-0 zaMG)j&Q9`8@=t1-ba>JqCJmkR&xwT-?@!n~A#uX7@e9U>k3TuCY}~?e)5m4PwGiZ! z;7@y&wFX1%Cr4t)F;e!ujzv;|jH3|dPigHPe1qiamvk#@58R{xmXNjt(!)lI zqZW@|o$>ORm17?p7eD^H@h?s2H?d-(YEs^$_a`+>x-*HG@4)?i&{dNvCia{7(uD8E z$B%z(+{&>pk6E3uc(gbwY~)wzOVU)SWy6DpJv;PFl5xmWgWpLwX>5uk`j7#k{ngQ( zeZTMhm2Ow~@55&HiVeQ0-miRK7|#)M{NDPm9A8w&^)^?_TJID89`j@WCgn}(hnDN` zch-%4z8iHZ|H8J0%ipG)+j!-S;1!oSje-@CJ~Iy$s}$N>2N5HTMA!Q=nCe&_eM`cL^c z_=ovFxj*Rsi}w!Pt?xS1`6>LZXlloi_7QEREr0W#{rAZq-)Va2X5$aG>zf*@F28?a z`M-2$U#k1^)VUM;j@xSYes<#HclIZI_{jSS+c$1|Zu7YfudOb5;rB~M&rg5MIrXn; zeoFiVE=uJafg4Atz$-}V*n~oT8vdl?&j|b(i9e(8XEgp~z)!Crl9HmIv1G-PWy|#o zp2^F9dck~sO3Hr(&o5m5Ksam^dcIi)QT|6^LxB4MR8Tgin3DCx@$_`EVBu3!#HqxT znwCCd z7y(9r5nu!u0Y-okU<4QeMt~7u1Q-EEfDvE>7y(9r5nu!u0Y-okU<4QeMt~7u1Q-EE zfDvE>7y(9r5nu!u0Y-okU<4QeMt~7u1Q-EEfDvE>7y(9r5nu!u0Y-okU<4QeMt~7u z1Q-EEfDvE>7y(9r5nu!u0Y-okU<4QeMt~7u1Q-EEfDvE>7y(9r5nu!u0Y-okU<4Qe zMt~7u1Q-EEfDvE>7y(9r5nu!u0Y-okU<4QeMt~7u1Q-EEfDvE>7y(9r5nu!u0Y-ok zU<4QeMt~7u1Q-EEfDvE>7y(9r5nu!u0Y-okU<4QeMt~7u1Q-EEfDvE>7y(9r5nu!u z0Y-okU<4QeMt~7u1Q-EEfDvE>7y(9r5nu!u0Y-okU<4R}{}}|-gy?(nY2%3g37+VW z!_^b^zuTR57brCS^xhE>i*Nk+oq`1a?^Z}w9!B8*rv!elgt8m+eTFK-Gul0R)987lV@EfP+Bjo*ly*&HF#6pN|9MFxg9#b8dc0u~Iu4TDE5lS5P`;GZvt zU~cqU2vG=%!e>?xelvz-B%qC2@i$DMR*l4)AY4?UCfo!lycT}AOgT?MH5TKk`c2Gw z5TxV>eha_KH^D~BPo+^1t=b4x;>pW z_7WCk7>a}hq099k24jUO;opZFKYTvgtNFBQw3qWvf57*MZr@K~IYw?lTaM2-_lxbA zr@#qBJ$R zSqS(L(T9@oi=pZHsRYuhi}~zrP+QFi^kED5IEvMuj;=Y41;b|`t`JQ&@|;->a-`;6 zEM^Wu=&!kt_PJ=I*X$1g`60xg7|3%LG8n4)4E@Z;tV|kM#0mc}vK*zk4Nb;Bf$nKz zCpCXS&QDJS`8R=nxftc&YO=5l&!BAARH3{C173p6V+-xb&w7HaMx!)H-`N)v(kK8LbH^A`Gl9_2#Kcks)vM7c;4icDTWd68x` zj=C37ep=&1pT9$SabSGx0l@P3;7Ca3V}$>GCXnq_rs7w{L$Ne}#=O>`NJ6VoE=9SB zhJKC8tEimiLZ3lpGb$5>&^y>PZ=$kW5qcHN{TBAx2vuk-X8z|)P@YqTmSYLue;AZf zRiG$nB^Tu&33>`LL_=9mB|%6WZDlmhC!b z6`}bK)80V&8I1zvTWE7qGYjQ^KMvuiG>KTAW(@yc^B2sw4dok}Ygo1ply7Q&hc_H2C97&y+_GfJk{2K2_GYCgCHH&Y=EpKQtb6~xsCERFFkaS%Qtd2cGg#J! zQSBP^k|b*;svUy4r^s3w)xL`9OmfLaP^}xeq*HA0Y^q&?IgFy%MQv331XgH_tWBrd zpV8+;S(`xvg9NK84}z3{j|w zg3qB?22M~M0}ZwS*FlTK!A3U)nInig4N5`ZDuJ1=_p%i;FmxTrG`G*P6}g0#2jQwu zAL^R|4(XO4T-@pGsJ9|vnuEl^h|s?U^@kMw4nP?G>$e*O9ZJ!UXkpd^Gbj?FUj+5b z2R#wwsLb#{1UM!1Bkl=I|0IM>0KMNsAUp&DiAFO=Pd0}**<@jl$%|-$b^Sa13RrqGsIXx5*{_B8|F*y->?V|kp=UPC<$dI}vN$NvKg z7rPV+K?lnEZ@^XTSuC+$_O}`IzCUBb#K}6hDLb|f{7?g*3%6)s75gH(H_Cb&>WiR5 z(Rf+!11V#-U@Ila`YWjGFuOsr{wFAD?AMsxU|AmvQL%uB&_o_*k_yx}!|=nHxz!DAzH zn{s1Iv9Kd$_K!soGC%_^qk@Q9kCpsRc^wMeF9X6JjRqkFgq0{5K&U{W9|)gC=umIiWq>0)I{KRm zk@fRH*vpvg5(v9ds0ZN`3MWAL5gnnu{tTArl3b#wry^kc1eW z5ETUIAMS~*QR8l~Utb7Yjj=M8?;zHRY1KiU7>iimgjg9%4GM_mA_|D53xt7a|6pyR z#=&TI_JZ9xI$9&7^#bcG2%}Lt059P9HC!w{SJXX3?iU-7`3)1s#M6ubQo>L z=%^(i-R$vtTzDB{UxBbkA-10rghCMFdMxx$K}$obJ5c#qq1`hLr`m<7c$kEKFZ)Cw zi7<~q2O)HU>L|t{kWCnCcwb4>@t|FVU?a_?sYW`RTMiMQYKYnfZO|@9%%DgC zE)6E_-(dT^H5?i_VL#4Pr#KgcybrCE@TY)|3%-Q^jgMI zEPBAUOtvM--{3k?DC6l5g~v&TzBLiLXu@@zasx57fFa>U^byd1MEwZ1OQD=i6fg>K zFXAvQogG_*sh^hp&VtxjBNl40tS>;K5yCkv*j{YR-*6NMMWA56kv$B#jO)>FWP6hQ z1SY;9?+tSWqCO=TG7tJ?0?vQkF)P7s!a)RkLEfLaQ2$FHC3cn{K_3)>_7`PObaui& zv8x2ML3*%$3{wYm5p}s`E>X;BA?UFQ2aBIfdTd# zOHG7cmDLlnno8(3SxquRth|U_m&>A$hty}0#rLv45p+O=+qlHLNV1Mn9ESl!b#jSF zazOEN5{Kb-9HC`sjq778?2=Efmm}LTsRghRO^#p^hgVG)1n=e$?d_OQD!^ zJ?OC?V~Y)!Tc{cJ;n-p(S&th8`YEhUs_gF}(B&3Olhq}t%1x9m>-A_}gXSaT!Yvsr zlfh$`#D8Nhv6SLk5?~T57l8~ttb~|5xnMdJu;7YC-})0+rO2a8j(8OurO1OzR%=j| z$JQMenEwFkFu7J8n2ydKE{`l9x*V8D$Z&aJb)uREDzp_MF~wlMKU19cQ{~h@W9n3S zRQ-&`Waus|^iAxmAy70u-054mbfgTxfh|W&0gowLf3aJN84TTqt{cz?oCtJJ7`Pav z+(I}F6Cq+Rrt5`6O;%5VIt=$t^gQ-TI%{|?PQn*Ag6U7_Gi@Pe`7tJ?E^w9pENGZR zdVVnsAz4f<$21>51)-?|`uYTurz)}2eS(Qb$obcxlMzm|{S<9S+{99S_J0xgCQwpT z=lgI~b#+ZmSJ$1I?yi~YVT7KcfngY67zSoQmSGV_7<2?wR1`!+L^MhSF)m;fMU4^M za6!ct_Z6cku3%iFCQ)OeF=&i2`6b2}qe(RSJVhP%am)RFkUFKuxqH-g$dM!X()wlWMR65>!bv6d%d`AdDVr()#C8b z*x~A3wHK%zuBN@N{aL#lp1sTAIpS~xtHnQDTw+jofh-qeRRa;SxofLyM1Q|1Mn zIje0F{+$lFZ9jmk0gMs6=H#FD@*&dYy7F%3;*}=6@XGV|lzA-*FQ4LHwh>ZpBwS zU1Ck-a_C3W(3~w9&xV<}x}Si~e@(#Rz6Pi(E!u|St4|X^E5KL){Egu9lz*5N zsO^uS8OcSd**%hrRPz911g{ABhcSc2PiesmLLAd0cqz$0t@;yHypp8qm~W}da3GK5 zRX0^!s`5`4Igl!@V^Jj6wJegCz5K(0gCZx>f>*uF%%6GwVM0T75>#^CaUPm8lGncG z0c}^}->q!k2wpAlPuK5F`r*3T?3PtEHByIrtq16br9Xjuva0?W9muMhW;ogmGhS8y zzGU(xO^i{zw&$NNWF#-^&2G7`3eTAcw<+#Ty^y z@CMi=^o+@-V~Ya)h=bS891-NiH)i#zC#k55g+NWKUv(*7gI@>kear z){dj0GaSYQt>-2H+74ra){DfB!wW9DEGpwLCTM*~e1gN6p!EmZyy!3{XnlM%zF&426SV%y^bZ`y1g+1AKXe!q zw7wwz$YD&-`il6EX~qO`Z;ryB(y!CV5#i%BV}e$>2%n@G6SUGM%lOo1Owg(@mqSRI zJ|h%X#$?qCrq7t56^c}E`iu!$kw`_;-wG3}mq`7Qk1gvhQiJI;CTP`()M)yQ30g&w znoOTDL2H0WLrni{dTA7?+4LC`v<8dRV)~2;TFp|kR?}xp&>C(IMTTjnFY&mM=GBm< zn?7TL)+mv>O#d(RIZhGE}!=O*T(PuT=1&(L(GG z|ALEQk*H24KA32@m5*V1Caz+pWTMqJ3dWl_!`TKdA4^B>44g7}2eTV_`S&D`;P`&! zPUx&bZAisUL%Cnc#kAG7FH_=MmA7LFX^r9~qh;w^m8a2W#_>$iamd6*oHnifS4oP# z2l=f#mhsV@yi6O&&C~Z$bRud(inAGCi5+m7DDYP^aVJVl6uYT?@pK1G^ugKa%?}x%>fq<aq3G>VY28)mGotX zGm!epSS}`~GTC!+;2jT;-gnka!&zhg8Ey=Ja2C}%R|MNoEtJkP_oaQU^Ea#`U_WVQ zm*Mk4M{_ABd1nvrQKX4$}Kf zh44cWa{fP9lZ!=&{CzMK&N>ll{eZ%H5en%^`^`3-OGGH9yJt-@oJ&P$NKatxFB73D zJ#!L-%SCARhta_mBDDG=DQpm--QSzSl_HGsJ1ATwLWkdn!qp;7PEV${YeeYun=$Op zMiIKw`$KW9;BG^Gg$d(aXZ;bK6o<$w?8G0?6=n6jP7LgU_^v#Y(`W_;&}}*%Bb#^> zx!h%hYJaH8k2W^}XKeS@@4!6!XY`(X8x}%Fb`DB*Z)d8$QM3w3W*Ooiu6xrah~Yrg z+r5P|-Utt6);qe9j2q!Tg*%xg@f&otdv8S8h!Rs(Jc6ozgL*`%p*)1c2T;A#FhZkx z-f>2%g%0iT3sgX3H=Npo)8QHvs?&q&c`J?7aE6(4Xz1RA~6n@RT z9mKWaCA6PFTreUZMy{Ef_FI?#og$v$foIUFGf=?d)I&Gnx1xI9iAL&2Vp%QSCp#w(f0-mG4pLuzrVH+WrG9Neiz3Qx>I#wErRWsfm&&@ZVsiCt1(ow>{^d zNwX=UY4)oqOts#Drq%zFvu0n>wEJsW&UEX~(2Vh2I@r(R@z~+NM6>q242v_f z%j|s#OFGN4ab@$4)%$Z+;#}(vv}~u1RH)QyQ#m)Vr>6?_Qe2`BkiIXwmY!tv(IukQ>pQ0p&Sl2 z)2u_V;UCUXn;?SYA4OrJ$xE2DQO4VSchkXq>muau_FrS3BQ0`q=J=;^02f$16c+nm z)Admzoahha%v~tLO8*^H?r?k~&xp!#W<}sS_*?NZng( z{wWsA(xv{Qlp``K*PzjX&6Ii`i!$?&@IG*0^MI`9;Zd5UDd52VCzR!!DFuj9v}Ken zVku<_o?pu55xa@!fcuu2Jd1l1kAQ8lsRAde;(b&XKS34E;@cPj(9&K;o4u*+uyJ2U zjl3>iYPy>VXE0|jm%fw>|LTQDEi=-WJp(QAQX_piu~ofn=`bUG1+fj>Vx%_^JJrjU zA^l2X7Z~YR5vRguQIqu5#Od%!;%kUiSVWQOjl@BCC5AnHEpf(398AS^t5BCDD<_K#a~xdv5n7>|YTgaO zC#_I*no$mVznez;n!O>|K52z&ngmi^pR__X-E4#=ZR9Lf{|qvRr1q)4{Q*%6(h60P zPIImr@Ntj6VGQ*lX@zRwfxt1XP&NL9wze9q(DsnDLN$aCqfeK;0cG@KTA>>HPujbx zWg6|J?BTolZjF7DR;Whs2t_^H`HQV>M-4-E)RVMAHTpp6O*Qs{9{o7xhn`<*{Br7D zHSrbdNh?&7XljI{6{^W}YlY9!bRS|{mobGtVp^e^dN~xi6V#Njx(otxzop zfMC&kso}RNo;!GUO$JI+1umNLryf ziTJ3Hv_iFvcwtCdq53ZI(IIJt>SWG^MImX0YB}*SA!&tb1@YpLv_f?X@v-5%tn*6Z zB_U~r>Qv(6LedJ=_lTEA%Ey_g`10R&C{cV>nHn zO=#p9PE%`%mza5m)6_*2UbpfLr>RRQjI;9$r>PATZcFChW4$-N03lPBXE;sW@Jk3Q z%JK}Ssav@)LpV(iRa!|^wix9+%4jI^Fr%D3PFs;HwfxVLT&8J-YBOV-4wG|A-Ek&P z;2~*+>Q1)T2)UkDcd>8HkhDT|H|LKPl2)khVY(fXR;cbJPKKlvs{4qYkhDT|KkeO+ zv_ka&aVjLOP(4T-(+bs=a+I43)We^${Gl9^N2oVKo zsJ1cP4M{6hj}xat(hAiR#OaW0C7L^GZ zLR23TpAaUA|3I4;LxvF5#~&m8<&Ysn^;f2U5Hf_QJ|q4xWC&4xLHtq35Tg2u_>VqA zh{`l6{K;nsQ8^-f>@$R@%0>9ZX9!WHO_uR#Z-x+6h50pvl-ZjhM3pgF^@7=(Aw(65 zRB!fX2vJ2M70uoZA*x;?^+!IothY!FW^Z1rsydMx&E5u{}N4t*H4bs5bxhiwLITn3fd!qjBq#iUVaJbkx>mzl7JE@F%4nGjVV zVYtrn8WZYwOZXWRK0-g0EM8&4Nla*Efyu<1Ot^rR8KDz?!Gv#E=eBFa;vFUgtVg>} z*og#pBrQgJ=o}YMtr+H*KePU4Fm;@EgnRLY#s(&g*9p%cVbB3g=y+c|@1VsCEPJB1 zc%2sW=x&lucmoMd;By-0K0iU0*UAQQe9WoONdC8IK9vqTb^E~%EDU;@t=m^8{E!I+ z=AWh$)-fSXi|IPy5+>ZqF6+_>Ynkv66K3dy3y{$GdyeP+I^i5zyg`d@op3G^25)95 z2ja$=yBLR*x$&-Q+!$WI)G#l;43+IW7}AQ8G=b77C21Zd#30Odv^+yBOVSpxEJ^D3Q{128?I4X|2CUx93QU5elj{O|I z%X;Fj_O7_AEpbR49&Kw#%5C1*h1AHDIsVat2;;v5H1P(Fdu8xko zD#l$M9d}iXyE;1Vsu*{5#_$+PE5=MiQ}%7 zI_~OBJBuavW)r6q;>wdHcM-47jAQzKtg~Te7g_IBXQRXiMu)vO~8>5Anxk?NGt=yT^${F4G?#Ablg>OiRI|Ht76>M z(Q(&+aaZRtM9_>tG4ASYV}D1AaaU(M8(ymzcXgh<2S3&Y#ki~U98SbWQ8Di7y!aJx zgJRs(d8rBqX;Z+stMdwdHUpz(ud-(Cz^IyzyDG+AouAjjzC$tY>b%|rJSkw@)%gYU zPF9S&Iy&yE7UYs9##6USX6#$BE1)`ifFi5Pcv_Op0A zc0`Q3I&s`JV%*i~7ENcwxT|x3wH|r8BF0^vIPMxT?&=&U&6yoB?&_?xCc$h@o^#ka z-Qv9MH1mwRI%im%nO$a{aaZRo>qZ#9W91olbh zU8{&4n-fyH92XTf+bCd`tMvUq>3q@ENG4ARdZT$wdSsgL%>MXMU0%2{$xT_P#T_eU_oyC&p z=7@1u=U5T$iWqlwK$Ar|TO!6?o#RB<7BTMXEEQo##JH9+UWI(v&`y?=@v2W>MR#*M2x#SE3DyAtTa5HUUWUg`%MG50BC;y-kug;M?O& zc>+L+wv0ZEyENNUkG0pnM-%csxNJ4j|R5 zFsf!yPj*206ef%QjFP_0s5+i{vIEMeGPzffI?a3upnekWNvF;*`vV)%KT&S#OcCs8 zJUDKtRU)|2H`J^aAtw5#&N45eCpUGr`6WG(XOLPGdm_&ub*}b=$GRw;Xa0irwW(XI zC0JY5(|off1=oX1kh)EUI&_dU69&cZiUN&|;+S6d`~x+(_LeLN0nBhXU>v zAu`;{So3?VGL%w^i|?}zLh&$M${NLAK#f@HQ^@0Wu0Xn9o9_4r{;Lq0^h9>Bp+12+ zJ%!j-H=yw(Xs`#RO1>E~}@A!;)kp1$xSq&KM7Sf7iCo75j@b1`wVx|}xaiCfjFOuv+4 z)2@~fU;Z)D$EbfX?*=xk1IG_1Q1ADl2>FGCR{V49U&!~DP$uqYov+f#pGh*055LwQ zzZi2_Jq&mL1iCZSDwz6lf0v<1Kko0_m>%~x``DjF`#|+Vb^U{gb7~d+972r#ev+Bz za)}%Fw}0;a5Q?j1@ay2NP@D=}EPe^gy5a>$sV`m$7k!I1(l-_7BW0(NNYLw*-$mX6 z9rzvowaake%0T$n6Y7ERZ(w6=^*h?%gcTJAVje4T3lH|9`T^57JE&cM)sMBloi+_> zFm3LnO_SQ2>37p+h^k?W@BIed)vWr^=6>Q<8EF4O4s^T9)8|9m;AfOt$-G;MN6WzZ z+c_WP=EftT8jwN1n4@@2_xcd@-wDvZhxCDX2xvLRqW>~9)XaT^CF_Y0&5aL0 z*>5>B4Z4g8-3<-M@~1eMOwklKTT|TD zNs3V1Vs@&{kaVK{b|{kez4&&xzO~6KvYY6@Ca=g|MpzcFZ<~N!tZ)C0|K)mp`y!!U z-!j!mv6@LV(4%p}NMn85AEK|-FuMfHrQk;kU`YlUZ!?itKx@L%On)_GBc* z9N7wDTd#T9lptR7-b2rN%}Z!h%9@vj*uGx#61%=$^Ae|hz2+qjDrL>P1vzu*gv0PZ zMYiD%e5Cp?iPyYiabfN2H7|1-zFzYZ+rD1&61%=$^Ae|hz2+qje7)u+&iQ)HOC0%n z%}ZSC>oqTN!N`=>yl1dvz2+q}e7)u+UY*rzUSUX@S-s|^fHiMw4E|pPBWXw@#Wq9zrgN*StQi z;e5U3B{Y1!<`vKIz=;vWYu>TAfU1-=uUJ;fnpX@fWz9?I`g+ZKC~(@>YhGeisn@(8 zq3podYhKz%zFzaP;kCYA^In-mU;28@i)bc@4|>h}A#j7Q*SuuHH&yC2FMT!xbIr?| zwF7g_OaEhhz2uFV{4aD)pL|c_;gN%}e_(U$1$$0C)R(&3hQyJ=@o7-eIWc z9AB?_d4$aM^_rJ?7aAe|(Y#8&*r+OArdKf?y}1ag3aWOkdD+;~npdn!Yu@8g%bqna zg`PDpg`PDp^8}?e@BTP#^{jcRX)djKNtfwa^HS4ZTJ!Q^yJyYIcGsGhGjrFP_XjZCwdQR>`*y8)uY?Y3-lWax zCmp;k`K=BXk(GeWbQGVouY*DOBoa7db{h&Nm4*UQeeI1iX_pOh{|Op7A#$F`L1SsT ze@VuT_N;Jkql0*bOWd=m& zlHJmuK>x6)uORXN60vo)s=nn>{OBR3YWNNg}WC7P+EC9Arv2b>CI(0 zt7BPKxIE}eD_jmpX@$$ObgA=7IU+E-d2MAgr8kc}nR!UyBY8=i2U%|(45eAhUAdC> zS@=$Irf|V4MekbS@@!IC;T{QW>lJP%%C2|{<~I55WyK>Q7ha>R7qj1`ypu97c*>gB zQ2qpRX$8xxq<96(DXk6o^G9tLqcnVn&(z4Rc2@bFV#^N>+T2D&EaiwOmIx5Fst=?~4Qn z^`y|JekejNB848ejM%IwB85J+PK4Ts6#CS95eoIB(5EgDp;%7}ed#t zI012F*g8(Y9S`Fwr{^7l!v1TVz}9hs;jjtfIDv^~`#MfQX!tr#z&hK$juWtb1z*Pr z=)TU^aROdi6nz~hh>*9z*Kq>kCSS)1h?{*KCm?S1b)0}RrQO$Y0ur^x_&QF&hIQa{ z!^5<928t?vAz>j3PTFMvQPsH{`l*l_WNS94}St38UAUQ`Tk^L+xKgL zrxLq<7j32yr~MN#n*I#pz|YgBn>gox#=NtLBj2X|LBzF&y@rZIMxd;?*Zmd$gi!pq zOq3Ach2r7(E*77MySm~=9E|nFQNRtwM=*f~7H7aiW3fNJ=NAKfZz}eKcBf(Uxl4Zy zSIh;vEcw4`C?<5V5`K*0|KK|)4nc(q#SFeX40}6sU0)8H0u9r%{hM<*Y-L#eTM6~B z`nR!zZ2x<3;onh%^rAl$XEpz>*U+*Ce=I8J-}5$bqyGSH?&B~u`LD3S4-hx|5@~p7 zDEzeg5@~ptxLu}-zm-#Ej7$~(F&kAGD|6R>d^GSl8G8Ro`swh$L;p_`PxglrZzt~b zA7NXbCGPSku-Bg>?#8I|{F$`n_vC$6=!NlYYox}V&bICthYS1;ju2)5{HaOAw!Z|X zY6`LIe}Ya{@ukcyXp#9;W$T~%;IPmS;aR{ zPNH}=zHOuIJvve5c`z-|zLYy>e#+bnQJz^m1K(Eh3Vhqed-3fSKS!VA$8SFfs>USu zwO5DgV;+QaaKytBJRD*p;&G|!O*Yn!&T4_sS3K878`#{UITvPyh=;7|Z^}SKJZ3@G zc?x;PqVIU#PjR@G!c=}l9i!}PmfT=|4V!qnRZP4N`u`g2vB?Oon7R_*66~qyV(&_@ zr(y5J^1dOC~Nu^hR_R&dpM*q|yHlx=4T8<3bGj zmJCdz|4A4DQ)Q8&UDmzBgrI$?lvk)J1%ldobm0e9VokPEWmtK+w^up4u_&gsQcOdjy@tmQ|aeZOzfUvLF9{&pwDH zGdqewR-@?#bR<9i$7a)S(P#Wrw3|&&pq~8re=&_~n>gpkVm`$Ys{Q-w2-QFEo4~(+ zVH%cpjn#oF8(EB=eO)C?6;n5oI!rZ(di_vl@)-=6)wYBw`k~CEj#!;8De_QeQb(+Q z$P^v1O6rK!CP|TqRdQw>w8ykFML$}d)WNGANO9~J@h#+xqz+!uS5gPB2utJ)UfYwL z5uj}`IpcRoipd#FH9S_n0FIHh%!7D-|Bf%>cV#kCH;u2wlxJ1|>4l)wFdKEcI*jR!8 z-f{T)4L(wR6ch)q=3s>!6E>I=^sc&BufdYTENLVPhRMWAZU!gU4e>J|=9?Y;ry(Y*5pgj|m%BAWv65CT!5GJ0BA^ z*xK3on6S|avpF>}VT1Fw)2xXJ8=RS4W=%}k*bKvWteTjxF$3+}Y1PDpjR&C>>cZ~B|8oSl#988Vb8hOGqW1mC?%^o;Fv z5C^Y_v3&@xAVANc;N)X^27g4-hR1(DM|xbtTGBIKN4z8-(=+-(Z{%Zo28YGY$Mg(Z zx%rr$!P90jAJa2f(a!wcoImqrPqFTNOwafsN}7|8=^32(i}Nu(gTjgVn4UplWj>~7 ze1LLR=VN-tXAsurV|oV5*_4mz8O(EYKBi|-xGNviGbn7y$Mg&e+ww6zgTjt{OwXXV zmkp1=9;^X&Y#wBNcrcV^ z>D`jN5#JtX%60&8WEq8+p24$8A*N@X4qUgn9#;iNalq@7<`h<8&Lt^a9FHv;#CsDSW%d`%#DT;`#Va70-bmueb=`>Ed^w z^^3LmR>j}KPet)E*aXE7ky2Sy@Rlk59G z;tqJIDNe?Bui|%5^V;H1k-k^)0(|!_ny77`;vZmNC@SE(qJ{MO;z;=ETeR?9EcQZr zzv4TnL;vD7d=Dr-1aA$+cToDk;&|9M7XOCyLB*@!zp1zZ-Ub&hfX$HN_fhAe#a_@h z7oUdxu;N$n*;3pGK8F`OfLn`K0*@&E9(ZK&1$?&^--Dm_;zrnyDz3)&=wb~@*&7>U z;9}a1W*F!W4p(vqPW*0R9^@p$k8bfKK>Kd07=Cn{&Zhi0WriQ!=F2Jngz{{RsN237 za)Df$@++L@kt~tO)IPNEuEkdcu6|`MRT#lH)RRk-n!;q!bCWjFml;7HV2MPgrZU;@ z_8uIW#$bWa;yv^hG8-AvR=gh(+rTY`_b{=mPDUfWM~Ktvd&FCb19c6$&wG?Or*0sA zj5tzLP70$So**t}x`>}7Zcw+-<|*PPbqDd&#Lenn;%A6k)vyBa zcH(yR8ivr@K|DsiLHsOnhx!%qkBKL%-w;1X+?fGS$9tZz%ScvWu)PSZ_`2 ziLqGkTx~zo&~Fllr$dAy_SL1kN!E{8t=Zmr)*>v9P%Sj@d@-Sb z23{b7oo7tiyHEsl5Ny34h>%7<7~VyKgMN%jdp{H*muF1cyI6!M&zQ8gPK4S#W76Jw z5l}6}q`gZ-DE4Da+PhSQhJK7mdzXpO)Q>S~?{X2E^NdM*SBTJ>XH44LAVPbdF=_8g z5ys>hllHC>p(D?jw0E@#llw6y?Oh{6XPz->Z=(oZ{ScG(t`*#ExQt1A*I6@=71Q<> zi;tp6gExx>9$tj?ZGvq*8*dlE)jfHK2x;AucZv`|7;boXiIB^a1n1o?LQI15?y;7k zl=?E0S8VC~c*R?M9p@Kpw}Ef7r1AF&F(ls#dnXz8_B)xwPpgg@(5pPAgPO>5CYE2s za{jc{PvA>+GTlXB3a1M-tpIyJr}pQ8qz=UNPzUII7h)Ev*~GS*%JhRJpE{5}4kb>j z|6%&!#DS4qfzyndH;4WjDn6@5_o{iAqZOZ1Z>XO`UGX)so&P<2SC}RRH~%>WTZD9e z96VN(i4f%1qUseX5pwwn%} zAx>-m_3lEFcNc<%T-8*{-GyM)OXEX3gQUm;zy5Q7bDWCt!2`NPxa z6)39tg#bR@*UDm9yyD zRYQjZA4Hs1R}KR{gcyB{F{_m6nN!m+eJbZO9eq5MP8a?U%W1BB;%1h^sBh&{gu1&b zpJDN~;^w=RJMI832(Pd5$8rP;fwNM6VHk8W+Ag* z$c*O>uZs6cG80%2JRFT5iOghTTk$?gW-76(c%LLQjX14%pCmJbI8eM#lIbSSDc&c^ zNc$tj`y?4@f31<^eUi)}kD<(X{$y5=b@~6neUc>alVsKmg*TfnOa8BVi2ncEeUc>a zlVq;Hn7L@^&(7R@H4Yr<@yxA+y2mrOv4d>I`y`n=@MIe%BJY!A?z$T-Zcw~WlDX#* z;6}y!B$@l9#})6BWF8=H7Di^~A&yq7Ffua_6SvFAWwvtU#>mKJ9=iZ#jTJ6%=5d}u z$H^#WD8rppClufTiqCMUTQeDTm$nyN#=!T z+14mK&ceh}yibzt;K*SHz+ZL}v8{NYBr9hPSMfecHXe1}C&|X6&if=;8C|g6c%LLY z=YDicfBjpLb?#(Ey`2UuSoFo}JcjY4Fwdug`$X$Ch zI$x%H?s`H!-E%jvF`A5=yNT0XlaX_`aJp+Ua&9xHyCx&&Zl{eVBj@gT+T$!yRj? zt{}F7Ta2m=#7>4g)>K_d>;fbGD&mylUAwBQiPMTZ)>K_XtQ2oMRc#~=6nCttx|TR& zB)MZv)pd`fE;cKY1HNd&in2 zw~4KuEIIo_8}+#zu6u1jY7k8|dD}S89c!Xa^C0{f82N4*?Q713fc-0M2-D035ZpX> ztcj+Ziy@>9--_zF`Lg1!F;U-z@Pk@#$C^m)Mf+|vps7dSz;h;^z^A)`mjdHfM=uJE z9&CI&8r(%&?pPBIA;ghJmvaH-NHdM(tw@Z9w$a{=TGrBD${xO(@7CD2@ZClnLt86q zzpcl16wjNeCwHugMqf(38I9%rcSAh!0fP z(9c5RgVZ%_@6p5ut4rA5i-_ka?pPBYLwtxjnCXj&4^_t#A4`0g;*K@Z65_dvJJv+U z5g)F&V@{En!Xm{TYog`E$0+Vt6RjX#thi%MbPDmY>Rr}(CGisQ1%OW_ zK2CARn&^APOVw)nJdOBxeSGjeFmilYxM6&-5uIL*`P8tkEL!yi=NosdiOwc8a@?^d zT1&jd%yGw>=pqWQTRHAn6J0`KoSoy2HPHqNwXI~buWh%=LqKBKgiX6%@d4zgHwGnS6w$ymyM~Q9K!QOjJm%|-v zqHRof6?d$O9w$yI?pPB&L7Z0OiJv4^Mv^bWi=KK8We$`bYohJ7bx=%V9e3#S8h5OT zo})dk#yA&VBz6>ctciX?>?-b96TQJ+PpJmh;Vt5{dYJfaVx_oaP4q791H~O{qTjNp zjN*t(eI7__XHVG^;SjKuDQ2+_5Ign5=ri ztl^F|Q7BTqS#uD*MgvxYm?M8i!E_%ySIJJv)aO-|A2W({|& ziAITpn{a=j&v7Enz&&X82Ae7e!C)=3wIX|3?kdSCqp=%5G3MTwhK|i-$L1m7*qoS- z%>%!{9c!Zf&51}Kw6!m9C0vIxl8ItD_9r>Dh=hJRA;*M+u;4TMKL}UJ#A|p~$+4*n z?nc5O+1X}**1ZnhAVw(7fh<>Vr#(nEylG^y$pr4e6b8w*H-lIwB+$QXe$&M2;aMnJ z{0zqC5bfh;2MMw#&QR^+GFm)?OER-r`#6UQ<*ef{?F09i3U#tm&2X+->ST|aR)MSg z!E7`y!|N&t_ZIkP)YcqxA1*NK{zf=f;7FD+ZeKK2bAdYemInRc*@WY8sihx0n@HGH zi`JNv1fGh;les@j8@!UK^9hrQg=k09!|d9ln9%fO4;*x20jZ0$8Aje1B-{ENqZ2kE z-{AY`NZ!?&Ow3`z2h4qJ6O5CIx%B)Tde2;PBTGGs?v5i&CKl27qk1GP)d@?PP-O1o zb;4pM+|9l?K_{HRgnIh;j!syDggV*Q=cM5%uueAgStjrdcG1bKXk7#0a)B@4($qXf z;8PryQ@Pr#lYMZ$N0);mcJk>zks8j2caOFTm692qH0p${b>`QYnL`d>)6cN5Jay5r zpfjz6-B7%oqwn_=x)p{m#A1&53 z%~-X+!`zERo(;K0UZQi1#T!P;AR9vt<1lsNvIxSxI z{|{ugw9}%UIdcSCI!#Q?xg*%l=~|dQLW=6r(k!%@We(x4IcAg9f;OXI6t_Jtu1V^c zMzl#6*CfRahRoucN*yashltbtpfiTN!BPFx+<^xiPY{Pc?2k*x8Xn7kGZ{3s)_0B^ z&a=&DCS$UR^&3}>{EU6}cZq8z*59|P?L*{VI|!Gp$lK0Wt92S}UnA`@q_ycZ=4%JF z?qsB-QTt6$b(K{2tujUhNW2S)qiO*jVa9rZ9RMe3GqcqgJp@UwA&G^Qe?x!EfoIBN zoO>^0k6y~d>vfnlB_VCBf^q9jtbSl}O0>5?zGs)b0P@H?*qlnyTkH25`OxlS_sBIA zv$1w&>lSucPQ(p###eLUP3m<1Gst7^GjX06faB#Q_Td#gimdgsjWKT_eHPNk;$7@- zvyvS+7)O7VH{5sP25}aT+KH-vgoL(#0el9)cQc{6=5V9UfiYXpw=78ye1;w{o6!Mg zv(c7`wRe`Zf8Sl(JJ!BX(tf_XwlUWJzNGzfckRenn{0^7{c3mZ#8}(6r2Tq#Ev^Nn z&J#*nj#{bCqh>U3CeQ9SDVF=$%{FbOF?j`Jk=%fvh}@CVgs`!r7e ztdz{3RiiDc(X|K%N@t)JX|scEYK<#V3xgxzuB{b-V>?V+f-Krr(@Q7qqmwKQd0R0~ zJEWBMJhCEIA5GY&>03 zU6n88`hKi>XHV58sLn)LpNncHUQODrUkuoWmwAf6%0~8p>=IV;w-I+gPDZ^4i;A0s zl{&|0yBWGw(Cyo!b9KkH7;Rf(-O+pMMiuwMMBow832*@%oTZI8=V!)Am&HlLjJCOP z(&jh`-WSD5kH$$jlunG3eiA3O;^j_p(#|*u!*ykx^v^hHBxlZPsLGFGMH=i^EN4`n8OL0S!+$0K5C8LNwv>nebuilf@NdIAsbt`f)sB;i zhdA(~u_>EOeU$Z|(;h!4OQheh-5mc?u2r`1du-wN*uw9zh2LZUwSb~+;rH0W@3Dp7V++5>7JiR?KKdtR3%|z}evd8u z9$WZ5w(xsw;rH0W@3Dp7WB(kUv$pVi?3;l@TlhWpHsESo_&v7pdu-wN*uw9zh2LWf zzsDASk1hNjTlhV;@Oy0G_t+!hr>||{yJ!o)#}kfSUG5ErpXRpRTkKCc;6ef%Q#gZN`-FlRI{gxgkt8VAPpC))@ z&orEe7!)xo_Dnj}b=!xkJu2 z;@Uu#qK^|7D##sjo**t(kUQi&N!$>SJLEh?+!T;I9FRNYJVV?X48yQG+lku) za)+E9#A5<-hn#1LI|6ctoF5ZU4#*vHo+Iw80KLI^o)E7Ws;Ec$3%`O;891kze9udU z+#%--lNLsn+#%;o5$r6vL(VD@+$_06&T0{`13RDNbj~v0K_6D~jYreyDSqRT_C)Rw z-gra-FP7qSoX&Y>f0$MBjYp43$>0v*jYnb+x|Y20NbEE58;>ZsnfQ%I6w=xFjYkxM z47o#i;}L~imY3If;}L}jBIXg^c*N*OWx=tm49<$#3-DtM<~dD_Y#!IePErIrdkzK1 zVwl#=Dw>s9oM=&YD^7xrD`vGunptTi^DbeO({1ibnv`45v80C24{rqI)}myr%f!3>_?nb?IM672c8mk>tmbT>@n1g79pMe7w7rjA_UoE zIr+wjkjrks4~8>Vgebd_nsFl3X1~D?kTYI{LWVpgFvjV+h<)(sZj$vltHsw(9bZ=J zp!3Cqy@du|AcCEZUq7YmMV=B~KSd!;svllIMI2<}*H2N%Wyw>*>!&D0S@M+d`Y8&v zS@M+d`Y8&i7I;c{{S<{_CVu@Cg@#Q0`Y8%cnVIae%f+lY8^3;vn$|3NN_hPgh4w6Y zN_hPgg)v$3l<@i~3b?0A;c5{kXX4jSQPY_vPYJJ|qR^EAPszDfaJP|*Uq6M-VtI(% zV!gvoVuLq}1*iqUw+XiO%)ea(7oCTxa)$_M-II5U5I`7iICqJV%aW($+${nQ5N_7x z++&@JQmitQzXfIYSuPG%;VIdgr<8Q%8Kcm4_{fi2Uj>6IyB6QoXYv4>fy27IiN6jG zANeU?MwsJ%HL0Ubo|+@O{MH39&vU<;^4r=7xnE8B?MyY&EO!}Dd^{<){3hO;3kISC z%WoM04@SU8e#-CQess3s@R6VLJDJ7#4aU6uUXPHE_jpzOO{$T{d%U4#<;Y1Iyf=){ zsKDbrUJD)C0r#fS*bTThjmGJKd(&tfRLleKdbp3AIW%|+%1GaiFWv%@s-hryyvJ+1 zf(3DJ8gG=YE+6mlM(dKeH;uP9u^Vu28gC47I@pQc^u`hg0r#fy#u4WN?oH#3CyoN{ zP2+VC*9P31#+yJ~Fna07d%S6HvSdEq<4q?t0`5)YbrG+w<>Nixeyp=$*7ETl?*IyT zyeD0b{~y9g8q&w;r62F{4lkzQL%Qq;LL=bbG~PUxY6sk##yheR>2AOZcnjF@wdfqW zN{@zupV()W-2q{*8hO0OTfPWFz`bd_6@*5>y=lBt#4|i_64c1!J>ElCcpR$}!QvIm z3OwH9JuHS5c)Z7ZgwPGRH;uQIZ)!^i+?&RGlvq{p@gDE72`nz)-Zb7e+D8HRrt!A3 z;kCg|w&YnxXbS=NrtzK|3|tJjH;wn=0l*CbpGfpxIu5w0f{*ujuh3^RFlzQHYt{~o zs=Y@4V*>6?#8ncn_GURA>2k54fjdmF43-= zP0sT19BQZ%z(9{BP4nm>a+D~D}A!A6!3{CNFM7Gr1mz>hb; z9bxQ`0>V@Svbw|fgi6!u#r8Sqc%YVC(8$Zyon|k1&BxRUteU1YgqZ3 zcZgL)&u00+k9U~GlfIGtD{C-U2FlLzfgkU1v2wF~;Kw_{;)%4F{1?A>%Q z-x>l3-B~{H;~il}c;wAsuEFbvsj*)UUW%)I6kmFe@pV8@SWyN$)cuGH1<#813z9_g6EeMANcXy#G}CR zB}96kePCHYpO60)-N&AQ-6eQ^Z3Luq`ICw5V9h|_sl;yZ z{Q~eb;xw*WaCz=`b<;fod{DB_6)UU&rnU^HcivjlnQ5U|4^oD?Y zfvAg!n*#0yqAn(G4!9SHT2I^>a4!&bDK9A71MUT)F8@8!#{}F9L~UThIxxmu>h*gY ziaz{8!eIQXvR{*LuHGE7b=6f&R<#wA4#Xi7kgZ!G3vMGwV>~K4>CO(w)~%RD>;`1( zRvbi}4#?K6ID{B|jDC;q^E?Bby@7O9 zs2qPj^<)88#`Bph;L3PDlLcHE&u6lLEA@O1$O5jML4QF&7I0-ZaV{VWxKjEx3djPk zlzy$nW>ZugQb1YpysTXD0R&riOR;6Q6kB#nu}PPzvt_pwTXsvaWw#Vtc1y8kw-j4; zOR@ECDGph{m1{18w{p5H`M;_L)5jJTur0f#*s@!St#?at$O5js{xjyHp_*NJ^Edb} zBT{)Qp&pUS+t@*NKz2su9Z5{jVn7yf8 z?fcpW;04A8P}Wx zx3+BaV#_u!wrula%Qi2z-sUAo`f^5@gJ4=t`%>@#xz+4DFV=?FqQKUJ~0+`B=pJU`mU(Pg`H^N5MX6Kv=RnU!|${xxd!8_K8 z=Mvk&AJ9|TBZ%E#6*@jE=f8AtD$`{&gJ5r_FQiQ_SWCQ!7$fx;^lWxXwyMd-u6Q|{f$;o*q z2ztR$PS4DMj|Jr9e2zM4O7(qE$LEOLxd)*zTPW4G?C)&L{?4}S?`+Hd&bI9DY}?4S z$=3TjJDO4*A#XVyC{U`aF)VWK$X!pU&mFlN*cdzD{?56Zc6uh5igHh`FvYGSKGQ>uw=U{b1yoeE8=i4m72jSOuC=O&kQ8QcavO98Ia_d9s`p86b3_fjk0|iPb5iFhHn8jXGg= z8VSN88uWKGFq!y)hXmmgHR*(}aL0FmY$-I@!uyFY74ycwJ<<-HTpm&bRwaFciPNDEd(sxE$Di4t%Mm!lRPP&R>IaWXhf-AKCuX@~ZtHQ^5E`nkrS1+nJjJv1lA znjh|=SsiO``%lf_b+Ja58<$};@GhcRZM|NPyPr5Yn;Q#=!www7&WtQx*jVd3b^G#h z`(`&jj|-tRegt*58*-8-p@^b(hS7 zveYH*NaNM~@jYo$-A-fB6lmC4=Y3l<+ZZ$_*4(g%W^t@}Y!A)KSo6jnnhRphU-ru{>!9b5Ar^G^kkPNakPE1>7*vx6TndDc#> zKWEjz^C&-P@^?M4e$%RfPjW_WG5PC}Sif!6z!Ru{$mH*FV*L+RHLgZa<8FF91oz4v zjl}w|&NLctgXWGN&3&AXW@P515^7PlZ)EZJQl-L(XoJe-~LEe%Iv zS**EscMY9=FIGIfyF#3OKQ88{u|`H$YIZ@a`Qz>yI=duR*qFdQ<1Nmvj*IF2Ee&gS zW2|Z0T?1!>?}!!Oa%41+>R^sC-|B6+IsD$38^6NgGw@!X%14`w3*dCr7-5QE#G^<~ zGh^c^j{a`!faca7%{b9m>pP6b`A|IBqZl76CL4p=`(Vckbm}kT3ZBBzm428UYyP%} z24g09e5?Xl|6O_J$C?4LM&^om`(CV>u!jc2Dc%m-Lvux}SsrV4jnR#<=Hfjx_r#jp zW6iF*ZHqPA_sH{ntoijGnm1$37qMnnd)|*VmH0v2HHIAWPh!oWShFk7SFvWFJv1)P zjvR$~duVd8=F~kjg;;ax9-5|Db9b!S)o<;w=D9sIow4SEqdZ*F&6w((XT_4*C~{4#Te(Pi9o|clyPWa+m$@C3a!?MfKKB? z9;DMWJCA1+UjDI6F6T(+(eq_j+N}RbW5vH4r-7R(=cLjPZ>jF*_2{)9=Gk0ItN&J> z!KFMbm%Qeoq2_Car zN=&@!YP01{r14W7;YGl(+M*}@qd zDZ<(6*+aB!1deW7bGAx*jlPc>CFI>|$qmRNuTe`B_W^V^Q`VfVgDF2uS#!3IrTiSe z%3{veGr+FqY%#g~MtpsZPih^$DvadE_~&ZQ7L!Ha8?_NVo^#`KeXizgG1((yX(>QD zW-R>-nG8Q>EK#uin6X5`^}oRdH5f}2(uRr|OGD_1jHQkA6f>5zr- zQV-1vi05Oy2U5>%s7d^O+zS1E+_L&9s8nEg;k%N2j0E`@uDswbK|Y4NYyn)T{h=y9 z+GMw9Z1>hnV4fu(!@cboLh>=(+nH*Vx8dtXAQ^*%k#pUfwnGfb$8c{sA6g?MAH%)l zyGV}TkL%vaEb@L__ujn;$;U`lalTavA0suC4`znEOPLx*XjFrbk!qnsJ0u@NV>cup zL*sNvK8D6YHTW2*;bW0AhX(Tr+VWrGYX%aeswhbK7^$`&vmo*@QloTr$;U{I)+LdT zk=mQs4S9ntHHJ7Hl8=!ZOB{scW2D9r=R)!^QsarEkbI0(2XSpkK1ON+aRD2fv!~6} zGzUj*NIphtI-wDgkCEykUY#c&BefsvY?yiSF;WLm03V}#6#iGiNE*@yC7}ZA%+%qt z=})@s2tp$yA0su7rP?9+7^x!HC4jLNG-nt zLP$PFY6T%4^k;=m5zp|zNl+zxjMPIvPI9bHG*Ukj%WCj3QV)w^HTW2*M+n`Je2moA zalq-2e2mni#HyNnjMQT%p^P9TA0xGm_EAVaMru17UK^5+k$QG`8Tv9LA0zeL;lRa^ ze2mnKX8|{am$N5dx(2wZntY7ZEA-h6jGDd5nzaL?YOm4%nE!{pHvx~L%KC?^sw*DAR=xksJQRwxZv(M z>bT6fjmyZm%%JoC{m#9&(osg=_kF(Sd!PUJndj-wIrl7gt?D|b&ONu7@fhhBuK|B! zG2=1PFWm-sOh?9Jq+g}%*kZD=;6JjL$d`1gD{3oYIY#qykn%{9vqdW1^iYT7kntGl z;f`>HjK@efI-ZBQ4H=K25ievshDL&r@fhjRA`^v-$4HNHK2Bk!hK$EZj~7a9$aswO z1n1wN)P;=4Nbe++AtB>2(z`ejUNF~(jK@e%c6dEDhK$EZPZ74UA>%R9%|e+NG9Dv6 z)#(74rjYR%>FL7O9MUP4-c7nQJ!CvadZEMJ{xiC84X00XxNaxfT^Ns%KH2F2tjX@e zc#QNCrziBi>2zT{M*2+W4;YenoGzm&x6~N`+NTZ%uP2IiBIi89k;?@sL%s*loFNxf z1~YPNgmWmqcbJL!;u^}~WRaPi1IR-uuB}!c)N>XJ zM!Hd8D`Y%IdXyl^kntGl(RMSm1y+jxcIB#A1^|x~&!_ivreg|1#$%-Sc35nMjK@gN zl!%R92RR3#HH$;WW2ArM90z1+$aswO!BWrFA>%R9 zb3|rk$aswOTtU``jK@eHBFOrX@fhht1=$ob9wU92AdiNO$4DP8+McmeTqUeh2Bee1 zS*zgr3P0W89WDQh^fAtA;34BN((?qiLdIjH=Q|rgScu$}m|udTV@E5s3}eD|uJN)j z(tF5d*p=}Z=_4FYNLR*Vq!&1>OV#>MBay`mS9CgZS<;QyqO3d=`Lgo9#rt_tC(nDHCIG{Qy2>Rc7V@%gKG zZD1M`%+4TE6ww^*I4Lf9)-=c*76iWw;oP9hu?Gg2UICR|j^NP%!y!r5YVt_tB2E1}L+`51NT zB@oVEhl>9n$QPDiq(FGaKG2q=N~8aZ_o@Fs@^!D`uoXxPkBxSt{Y(Tq^alRKk1jMqMLh?S}V#4tS(YefR+NG#2v>Sojd(vBiuO z2p=Ilv6ztp;bVlGiWw;oK0&w{vrg+EaxVjxQ0J;_g;G62nbACK^*^RsO7Oj4W&)3G z_0+kqoJx=H1v8T<>B+0Bo?J(sytJwmP+qL{dYNMK!mLV4zJl(m9Xd#{ml zvmmwJpNOmzq|UpX$Sr~l@luqzRgn5r^LSKxo9$!T8&hM6+%8?1m>LC3*1bcJCXahM z-Su`k*qXg_iQH*70NK~$?iu$kdn}OSybM)u5Y4)|vP@U1)!Q<~$G1hW+4 zHTl;l%wvI2$_yo8VK)sZED*{tL&2_q-lVV~&3TyKN(ol8X&ddwQ5cn8Ib~>X%D*cOR!kzs|-D#+dn{8ehC)mbEC~@{A8!NYh~M|r8n9T>0t$Wqs<01 z3u#w*b@*%MplQ)z`0+z%*|_PJZJY@0jT7M{Fci9{;=3rviNH%oI}r#5+KIrKD~1z+ z?}Y!s-Yo!goNk%89TDROHCQt;bt)BZ^}u0!6eF;b?-& ziNFiClXfB;jvIj-%$e>-(CREs1S)j1%85YO%PJ=V;UKG=2!x}~;zT$Wl11n+BO=oU z_&NU_fpEO3BfWDy9^SIbi9is^vRHEyVYR6vJ(+E`)TWN~R3dO9q>sdiHGxSw z5^w5A&pLpG5vvMM= zLLE_7IT6U8%_=7XJ6@7iPK3F5?k>wJCjvK4^vEhF!Un*VS>;4{0dRF^;6dE8sDml@o!oW3$SMK>ntzaw43C_B3ae6QMJHsitR@ z6T!vE&d4e!0;5aEH-uUAK6Rgi=8Un1GSa19HUtdQj#RSsRK>~l0EH25H3$U z5k{skx1M$)5b-?iL?9A)+KE7!$kR@QG9N3|(@q3ZYCY{l7zj$8r=19-4DqxRVLT}H zo^~SedTjKx6M<}FJ?%swWum8@2u+Y_^0X6yY|Wl_BCtEtJ?%vJA&tS3O&xF|aNX)n z9dIHv0n?j0;6#`OeR@*|oCpc@PjBjg6JaiBa3U1Cv!Gf#5t4)pT`ou&^7Db_47s3e z7I+R6&Li>NlWtIiEs|4z|&5IGeEaI?L^>2xt?|+2$!dw2)vQ@@U#2){#X7JJ%>um;FdPdgD<&()rGB2Z?f zr=18y)_U5BKxDnAod`rWdD@9UVOl06C#^B;6z|us@7U? zhy=E9(uq*$vZS2XqO3d=3qm%S^ak)o64w|>Tw^40jgg9L6#FHZupB%Y+Al#9pQwEufOp5s$ffX- zI^dnEV*CK$R_`mxaLY@uH~64#w;*~G3)b2Kdr;dIUsNl*;x!Br|1N~YuW2kw|38z) zqHXSq1lCyF+!e)A^54o`!Pn(FSH-tjp{a?)mHC$jn3{nv?*M$IBljlfCIJ4$eX!te zc36lLIk8IJb%MCT?xUJudRie zt#Eg=DLK#1fGgc2d8K_ffM66^Rw#CV1v#!({C*3OYXtG|R?|veD{vr6uM;>5MxlJA zz(sy{3S2KpHkdLRlp6#o@tU&TPlP9Q378J@R6pr+EQPjNZV4BG`19BV@nY>wiIDwOA$7<6k%ga z5jM6IVPi`X&atIx@sy`+DT2n9B5Z6awmG(?h`^SL4#0>tf=N1(V@oZfK4nW0G`19L zHMZ30C^xngJ5F1Qs-jEq#gEom=>85!Y)d@|MB7pXjV&dbp@ECQ*iv8MhgsQD!l`U2 zVN|vhL1RmO47ottQiLkWR$HnOSgS2H75Z9jsc)d8)s~tCn%GiPQEWEI z6E1YQAZ5sx0L>Y4LD?+u%n;54@ZIH1Ovg=aIay>T=X~-|ifgM?8+6=;0=XS><;Z1( z7sR|WJ~24%tlE}(936{oDeOAI4_qWR&X`rfEwp!W8)0mf}s{^0h6+iE@2yOOea-wJpUP zX%Ao9Qf%l%U)xgMfi(NtmKuVZX878c;-xUh*R~XqqkL^k5n1SKTj~PTv)I?R)Kx&1 z`r4LaJy-kMmZHo`U)xed*819(BC_7swiJ;~zP6=^JnCy(irSvBBCZlvDFf0;;jC3~ z9P3B=I)it#(zKmMcDGSE%h#tg;vBH3_;PcqZM&bxz05%wiGWzV@q*Dj4j2w zRINS0Ari4I#gcMfi?Z^t4*CKvba~m8^YSpObPp+}eG+k{91cJfIaWs7QoO%pv@KNx zSnm<@hus8T_iMaJvfNkkZM%Q#$afTr0mH@3Gr|3aGr)t32A$Gk!RU7>_On~df(e_z zQ=m*R$`&XSjFJ`0t5MZ#KEJ>W3$Xj?Zz#3*%}c<)SJso+lkENS5-mU~XX3flW)v5H zO98g*3QMlnZaa-->0RVRU~Uuj$x_BfP%`ztg(Y1@OqZ;zLP@*alEcYk=awAD%6JiD zB$b};DD`4aN!7vR*=(~*{8M~Y{m6GuT#9y~6;)$dx+X5gz_V?CX1!PE=TBi2jQq9wFqyms&^hPrL6lBSeJd?rrbi&{~+4#{ID(%e|ZuUU?d6aPN*)6q4lkcBwfZZSJ`aLYTjB@`)gx?#` z$O>Mw>&p560n^qpkv|YJ(iRF^bdQw|Ed>o8avUG0DW`!l{1TLw zqXZQq(eWVJ#WhH6ZL(?>gV+y5tKKBz3_IBMCS*A5XxEzrpWzO+wI1)3U5op>wfEo- zEJwbTq}!Z-oBHB1{oi>jne#$2*@C9zypT+;1#Tj7R{_?MxGYXxhOhVV5g!yHabF}| znUE|NdIA@R&=HCI73s=^WU-IziD}G_*m`$v08vNA-bEOO7z0~35cWcqJ&|w_os45(vpu7t`AlAM8b{X8!UgE@YqmgPb551qIaJp*kmONx`F4Z zLX1F$vB+YW>sVxRSdorJCgMgq7MX|_=~!eUfz?jOBGVkpFc$eV00lZ0S!wEn#}#Bx z6irsU{>09*XM?T1daakg=0+fe@>;J*z1B07TOT1svbYthEN(h#xLCdL^SZqXh7RtZ z@GV)~Bx*QSy$9MRFZ@!+5Nt;y6!t$@RTek;R1uGci#4^zMX`S2m%51}^1?55%QH|ZFZ}!tbHQ!0xbc0W#M1fwi#qC)rVHUS}_@CmTAaCI#O+`iW!p|SP2QKJP zWpSgV74n6juU`0teBtL0S2gj4pI@(P;tM~2gwzzOEN+C0!oTAO({CW04f(>)ZzNn2 zUPS&;gkvMs-}y4u%ol$CE(EQRFZ}!_!pcbXC$r6#$>K(YQNx=tVynO;9f^%pf7S=o z$ERI?AA&fNi7lSZTHR1(ar*@2UdX6ne}8tIS=^{9^)Gz!qjh$0ZQSGHEN(r4gnZ%W z&nJjXJ*-8)@arHi{7e?NJ@9-MNESEY4DiCw-yls0@WRjENYD#a7PpargHUC0Bb*6T z7B>fVL?K`J`J2d}4OJF5cDy81S==6l?NJu0EN-6x?h&diZtd~IUm5a+pZ_$|Z&e2> ziyL*;0%jIBwrmJsW^tqb`j9XD{1^L!zcJ(sKmVoCfX4(XiyLLfhJ4}Yzd`<{P-Ss@ z7wu^dRTj5-==bzcWpSI0iJB3rEN;9SW`-(@8)fwiKeCs~7dusYJ9?nJ^&Q;BAmx$N zn#GOeh(;>1xKRl#P9lvC-#@t#jZ}?zkY7B{kujcBAIiyJ8u zBO0m5;>H7Tnj#vh$l^w}=7>frvbeE3(<2(G$l|sq*y1d1T(_-R+_*AZv$$;pV`~<- zebBSkEN+j21|zkDyAi5Q)G%RWSHnokE4mMX<_x)@Y!-MP5YEl`?(#QG2iH&zCyUJF z;II{?O;WqX69^qy2uaj1SB_jpI%;^D!EtBRS={;^WN~BT z+=xahvbb?RnZ=F$?%+~qoW<=WAQ6pJWN{;GMLLTckz_<86Y-9O*1>42xYF(MUxW zH`a4?q_enDW@V(axDiG@_A;EN;|>s9~-WRw)C5 zsA1x)Rd7TN6E8M+M=QfDZbN}bG*Xepjj$EzEN(jiLDVpBFa$*hqJ}xBT<02>EN;9E zO%^v!h{@u{x>T*_8i_cI8%xT0Ez0V|I=B>Y2bY&!IWG^hO7EBAoAI6EN_h!@RIQ_i zk;RQGSE7cI#qC7E`Vk@+*51b>gczxXVx$&|ky@yX)C7&xpy5;KGXmoVQ$W(b6{@sv z5@sAs+!5t|N!Yjo|3iiuhokufirsQW_!>{x4H;$}?nKxN8D<#N zn?|@OWSDWd2Vos%9PT+9`gLQ%+0U~vlCrH(QnnRJ%CRUkAvl2|%mRX$P<(>0|u97>6gE(?9wexyF!L~n#0{AaF7xPjfXie$GmmpRd&qQV z-ePj@jLCU1A;LW4By}(w@vug;KWl(t%h`Uy!TZBW(ll zUSvN8w;VL)-{7gJt_7X`C0yJ1*e!pGwG@e#&9Rn_qU95#WhJ%rZlwH2xVc%@WRc&o zz_j9{e6}Jp$h6|qe70gDaB0PTl&`wH59A-o=l#N-0MTk`@FT*ioF$LtbJVP=c32qp zd5ZJonDe|G&I^RI&&xGnyfB|rVbxs8{vW4Q0LP;M`nZ~Hl!}fM@ng^?tEMkm=l+QG z#h7)juwE>zo5|W<+5$-ga8ql54k@keg>^kx`)*O3q}fw3=v>1!6_vnNCfVr{xOujT z_+hd5$|MKsGl=(;gEZj-681=PP84AeO*nuR?v#8bChVjL6`$3D@CtsR@W>?X z=i6r0{a5nX{%g@bT;rBSr4fh&;7d79mz~%F*H3F1?#DP)2Yi~xs#!?&kLAhKgNEN0 zjPSm36^}_3526aIraf6t&*NzFuL&Py8;Ds?7uG+=qj#oo77x)a;d))y+7elsXQ6dg z&Dk82Z(O6d1ZKP4G6K&Ks+Zrm?B(vz)AKpSnFf9e@k&e`B-!{YfvZ{jPBh(X>g8gu zfHK`<8G(yY?*Mq27T|kVWDi0k?0!&nf82L2rpm3HC#g7CyEp{#* z(#dS)lvvd>qUyz1)f7?H3{lnIsls`>QN{Mgs_vnxF0rb~qN)*3Zfa<{h^l)a+Hpw45`So!3{?^QmNNV+FbpwZ)jZDm{e8mBL%qzyYjI`2PjDUvKv*gN zeS*rT0$0kf6#PiwwN77Xx+bv!bZ7a2l`Eo?fQZ3kgN7+;p`88@K2}a3H(ObKRE2-(!Mx#O;jBbtNOuV z7iU3Ksj@`Xa;mxt?736ZubG{C**Ocs>PmiDgsp+U=S)1|*w@6AClsZoj2#&vwX^3B zO6^v$>BtCSdm^sAK{&qvE(66i_BnLsE@U=6UM$SDU>|3*bIWRxx<}^k!dU%rHaoXN zz3JS1;T%fbVP6*5oX-=8r-?5Vd=v5R#E%#J@4)-t0QKznA}BY_S&OpV%U}>0vbgKw z7aH@m2CRcGK@na`4B|j$F`!2YsS{SfkQpfIH3F_-x~t~^7d)?#Ny{JE12*$>p33Ab%DIou);$I0B)uk?1l%x3Mzjf5=w~mzGnkg1-mYd z=kjgm4B*Q5@h|0;0^X|x-Lbd1hoXsSBmZfq_T%W9y*2jCydxfmA{f%DimeG&@dT^b z3!?*0(msf*_*x`qHo(0t{?%`c#|Zj4H&ryL?ag?!fdMSd=NXgA+p<}7!weo(- zoh5QDqG%Kgs^fv6N6~H>8JU5o7Dd)5GqyFt*$a4Y8QZ=I4k~ga_ko}_wGh34Y>o2c z#$|Q7Y(nCb+0G2iTxs1Ys7)=pLxuAx;G&Qtp+~{0Xcz!h$yn8T>F6=Bs-&n2#u!zea5e%L zRcvppsuvf+GVy=0-9v1SS-QPx)iRr7HXowO)45DMUzbp|xKS?>{C42I#nXAIgnz}J z&dY@SJjgO+OHi{6+4%q%3x#ID(;3rZz^eEQM{Do6U6ZAYyT$F=TiR6!Hn{K@&_LlFX+71If(}-tI9Ay@iMD!WsAq^X8>y; z-*xemS(_O64u*ywc;g20%@#kIn-T+aJaYq$XD%9Q56tz<6~UwETD=i4t37kW2P)6p zVtEf|S+6$Ys#V9TyT2Z*{(sN0>P>Z+(re1GI^~4*ysFP|2WWf3dhXWLyh$1S{N!#; z&6`ptCI7XpsmUw@=jB-S)ELOg{?w#wHuMH=v4Jl# zoF(>7fGwX{dz@44$w12dby$$jX+l}-7n1FCpfA`vhE-Z|f9u30N% zW%!@XE#QHO*xJf&WY{%ol0t;$5I@4;gRRVD;8ZU3&n=HMVY&oBL`{gLXxEkXUSOXL zwEcO`HF7|?&kRn^b@oCOT0S#4IV+_tuFnik&h>(LJ~KEuHwY3GGJ}(|N|30K(ZNXE z%XSwPGJ}(Iqy4mtXE{Fsm%Xz_C_Q{;aB^-Eq|#>wCugl7)&6Uw+$>0~&kRn^Izj6E z%Zc0~$Pk|yoSa()sV`&(C+9YsQFM)k%;4nQE?t;d$P7--9fCCZ%;4m#xBm^cX8&9w zciNru@KQvrg}dx7K#ud7!O7Vms+X$4WCvt0eapKSaE9aajwwWF>hpj-76|2^hJxeL zKPH6*LMcK8qR6#UE?|!ZGBq`=ly=PE|W9t8J|Ca#dAmauj}r1fDyOH`!wKxnW^_M+&T5){YhWm80- zm(5I(Ip<~X$S2~g-}fDAk+9)@1g$O-X-$Q0iHfu)?3JiUYr;W^inJyib&*Kxzd*7G z9X=obGpFL~YkZ`sc$cjst&hZyT#1UbrleJ(BCQF#B`VUIuvelYtqBJuD$<&8RH7oS z2^W>9NNd8`5*2ApxTHiyS`#j_N*U zUN#X#T4&zHh%q))I#Ozt7Gpk^+5W8WsZT{(6SPWHq%~`GOH`zF0+BghiHfvl$CqMo zs47zk0zX=3N7>`oY1ica!Y5fn#1tludnsBCzinQ*GI--*OFiiTq>=G4e&5oCps7UKK(-_MV z6=|LHVd0giNbBB!D@#uvY!Xz zn@+%FkvK1VK6?I+6EHtB&dYuiG(=i=bf1T6y&X73xTDJjDMOA2jNBm?R1f*9!uc+~ zyXBz^TthjN!y+>|0Z5{aYpaz9_0*z3Ziiesav5RsC9jM_4Bi@PJq`=1{AJvL1DuzA z6X>!X*e@4YZ3i~zWy^M8L|SvEJygSfcXX+%$3gY&XKr2-vkO;~LQ#(CL9)OO(b zyzI<2v}ha3bqm`w()xS+-pF=fb6&P=2S%hdCrWJxMx-^l)OKJ*TJuJ#wga2toR_@;HL2~uh_vRVptb`e(wd0c4va`^B5FG@BCUCMS}favabEV0K-6|% zb6&P=2gZ5Xlu_G(ab7kNwH+AeWfM``fe~p9XLKOJHu7NDrG=AS%R}x z!SfZ~$KV~U_KdWi3S71WBhs3%+768KvU$&1Xk~bVAt*X_v@-l9k=C#2_VT*?a^)}?B_&PZgfEK@6WbXii#Yf)An3f4(6FS|-!9%hxkBE^s4JHwUo zDFCV3v5NG0*}T6LaXT;~tuF@L(Um817fT9Te7S6c(F1|}(iYzW@D!^pzEn`Gw)j%A z*PVD)W_r*8CN`!w6RX-E+73!EK(N%8g0IR0x;p@?cX>AyzG8pa-|Pv!8O7BXbeB@9 zhaarZ^#kz#F`Fp=5KjU{e+OgD18{7C@){a{);M@2x)lkBh3m|+pSEiU0ACFPYC45& zTMtH~U;v&`l!Eo5;9e?d)C%|yTYGCK0eDjs^m&i1Soxz?@ECQaWThzio=T3>N~9HQ z?AkMccNx!`dvBzYi*j0VcdXzdQP2;3efQD|_|LxFu00sQG^Jp09g0p91^bfPG9SOu zss*Qsf@8r~^R?pQh+P7_dLv8=NO7+1Y1MBls$jeJdkYJaf3>*v+Dq+T&{xY-jPGQH z{kv)E3`MQo75FQl)be@YBLD-20~pX3Uv=aeI2({Xbf zS@xf6SK$BW(x~CDRG{SPrJ!X=YvDaYNk2{LXdtNw0|;GJ@DO&$79ok5NTZA2c?4YF>4j^wmZ*+%rszOUhQ3{3^l z*N8rFDs_y0sL%iG9*S-UEca)DZWrIFN$pVxN z`WC?NMX@z{_c~0}!X&jMIM-v2Z!NIKK1-xInHcvjM#&kOOt8;mxZMK?WyY`(2Ih4I zn2zT$ys9)jzJhS0@Q;6P0N_yy{+j%w1-3>XM5gY7BzO@j&8lDwCrJ~>aE>&rG?(XS zuE^2cQ)?bhfwa=haUR3fhXO7EYus)aQD1}r6_M`$|lM^mzUs8P*I*!l+k}Bt9hH)pDyULEVs- z;M!J{4Vv;s1t@Ft5?qXmvQ|+hwA6uejnX)709K5HtbV*;)lgm_l=089TgwzI6SG`k zYwSPKpu|mfV(dV0B<9%(YggQhTm7egyw#>7#FlBvYR4iT^4379Yk(nhNiY_&Cyohr?I(zmq=t zMAl3nM|u~6CgmAnlk$vhwoLkHBHT^B4kLChn4}}|Zu0c3r>IYcY#)Lq<=G;DCgs_4 zC^sq3*m0&jqpH-~_~J+FEOb8r5~n=#@tdwwo)I)D&qOmc3`UVjc{V(cbH()0!udZ+ zAN?29ZBm}G4wLeX9XBb@R^!*uq&(XK*rYt8@nuq;@sY-)JflvN@{BDrDbJ|iq&({Y zev|U70`fXC4(ZO$0p7ClhDbFaYcaxL7RKD1$(o4~5 zwG|Y(j6upHsWs&p$>t0yDzVHNR41dA@flP^;xnj-#Ai@ZCO(5|b_y4TIfIIn_zWr@ zy%V27MM``I)fu3~XHfBajL)DVTYLr;De)Op=RzhvgNkhN8C2{}djYb}^E&oBSD|IYTZen+2ZhgmWFf zyS#wu;2O%|WRaO1KCX#2uB}!c=-38|>?Y^Rk;_Q$CLd&QSe%Tnz!_BSumIzfXA3|# zXHXH2&!A%C;xnjZa#0QYUFcG0obrtOoy{3kgyS=)h{R`5jRQgNCVvv;x`lEE)l>M* zHfKA`+iLMI=6h z>UGo;pF#BzkoXKL))SvWMVa^vDkAY2R7B!4sEEX8P!WmGprSVHCg&<)l`&Aq$*RwIQn>>=;>1t56+=zf>6#OCCUPJqSg3LM0=nF4>M2TUw8s_bdpoB!c%UBR90Ibda3wLP8f?V45F)A>WtBdfNjKML8(tlFMVxH_x0rxUKts_p57 z>$1n=Azp7!&#LX|n^9h$&E1|}=<>0&{C*Hh`GqAD;a{P9hkWx%Q*TdK#oRQnhcq)f zAFj=x&nKRl@Mj3<^N`j;y*>|#k`-rSyzE&C`!_(;j_FwmZi4UGiGw;z0;qa!%7J^d z!dB(+M8*gc^kT??wk(ILUb6XmjHr5eClptXC+pw@N0fiKYQf4Zdx~|iu)Zg(53x<3 zI9%%dn~aa$k_ShiV*SKn1KpkBg*t*tKXJGg?5S0f-R*B8Hw09xlKX<~jj^KfqG)EU zXrm}PAXc*~Nvhx9*{rh>ti2X0&b5#_ zhq!Dd{Z4|KUIJd#gDwA;;LO}!C9yu+1g`+zhb`S1CSnZWf) z39;M>A~y+6Mb-HeM6UfLOuDSh6Qnct)ZVf-H8!o6tWJeBfmgNlB++^m@P3s6TZ`)+ zcX9gyyZ@fR?+2m(BmjRRFbcpI1O@^~OeS;XpE)*D;UQ&=;2RokYD)}_GXw&7igWgnp0wi*O!+YIudbWDMZ7UM!4U1B!7487G2qpd1o#&05e;rQD!{s??^)%uw5U zI>U?n<#K#0x5CyT%B`@Gzl!+V0+C;GEBwED%69Gn+qa{Exd&`N4BVvkyAQBV>&N2M zwfOoDA2}NmY5hJTU8VJ7vCuo=8WB3u`lSHtw0 z`43@X^1z7}&i!J2Zm<)ARFdBa;ssNPd@D#0{(u#f{7#T47(ES?e+p6*UWql4{FfkE zyj+L7GP%t@j}?|B9cLo$Z{az;Q0O|+r?686kUT-$@N^uFhjO{hvS(I@5b^= zdcsy>r6@`!89wA{rP|EpWJx*Svo5Bn--<$=qFz;{Q`D=z=@j)uyilj8ClZ7@MLm%y zoLdH^^-^z9s8iIFk_}r(8L3(n{<}Mn20_Y9ih8p3urfMD{amz0|LXc{I>Yq&Sx-(4 z7z*7weCK*{_J_v*aGE$hIVXT!dvc}_WVDKRBTJR(atj|6W^@Mfu`f^Cplk*JfNIitF zfhdrswt8~bu_AR47$r^edcr1oJz774g z&B~KQ(0FnP8&3|~Y#C1u5qfgE;{Oj|l8)qfa(IH6_T&&Wo*dR{JUOFLZag{cI6XO3 zm6`?uKUybJ69b7oIg5a3PYyxj$q~)afS>)?lS6Y@d2)nPd2)nNC9fxFlGl#}Y?9X# zHp%O0W*AQn`Hd%s9XFnw9dK(mo*cgXH=dlifQ={TG{DA_L!HKx!Wn=( zRVm0YS|#0R6OKJOL}E`)GYIhH@ZWHh>lTV9ryf&aJUPoik3Bh@sMwQ3uGo{q8)@vx zIU6FeC+Acku_xziD2P2dycA+j4w2ZCLnQX(G@+i@lQR=Y?8#w0u_uQzu_uQ}?8zY# zdvb`xo*W{vCx_bL$>Aztl`)vhuJF{sy?vbc7qn%rG9Q_e-9fG2rPKg$?vobZ(w|1aihcj7D3^=`xnE zn?0i!hGha_FMDbk;GGEvR^sLgAWg?X);M6MZDFN{;_=do4#K0PZ6!_s67IIKl_@hi zfoH>Caw+@?0@|e@HLnVB(M_Uc#Rh1!d1g3n5ct?FJE64aI#s%gCD;-+jc9LS$_mr* zV5$_R-VT|bgC&8EVY)!DdM_ovC+85dus1$-%R`iTO;HGc0U1@1CpzF05Njqa&vnl7 zlQ36b;_0$tM?6^BU)v`PG|@cUAl*|meQi^d-K^U3wavD)-Lf zJ0CUmxqupu5_~Q28X2*p1>bQh=pT^(7@K;h5kH3f#|r%@;22UqC-*(R62%Xn2h)3K-*v3yF{0NIl{P(2w9_=8JupJ{6GX=l zeT&Rb61|Y9H1H|5ZyQl*-)2%f?+R1-a$J_xPwxnc0b`bJnVqTwG+$Q+fVYjyCY@&)!VEEa)ARqsnyyKu;%-O_H3>`}Hmq0pkBHEjnv_DI7 z4$MwWIZjIcYX@e#*=lg-U52m3P3W83V;sSgq1u)F;>p{IU&Sue&IOocm&KgTyEGY_ zv&nHR7IXDG0CIE6HD{CKSuFJJnzP9XV$Sx+ztX-Q^C~Bo<*$&D#8LEBsPSq++>j@i z6x5mNGavQTX@e;0P@8%D4 zm~QP!CdqjpwaIy}54lctRPz^!fD^i32I+ctemEkPd4 z7Iff6rfl1wZ#Aw)G1P*71jV)u`ct8@Z56C+TLmlIR>5N11_Sy-lD6&Zs3Y|(zDA=! znu>}fN+B4`y*pyt218YI=dvxsR88E85ez4+Y}=rou(EA~5rma(8;m5ZY}=rLu(EA~ zM#9Rr4Mq`;ZQEdH=9m}THrR!rvTcJV!pgP{CbP}jwhg8dp>0bCg^#Bv=}2tb2D5fT znHsWv2rAn)n9W+1Z5!-21?9@N4fbcpY1>j&if^j;(K-v=gMet;HkfxV5V388`2>}1 z8!Qmb(9mkz26x_z2PN9J!Ck^h+cwxBjI?cojRci#8{FM$+XnZv+P1;Hzd;?!whcCs zU)i?7BkZ`cZG*=uasO7fZSVy1IxE{Y*gPMwvTcK>mjPC`ZSX90D%&=AjxAHRZSXwx zE88}B@lxNyDoV%u`%$YrE$+q(>|ZQG#KUXG3_+cxNK^B7{W zZG&=wm2DeT*sMm`wn0yuGwq=o_PfxfPG#E$4RSKJ*tS8VIwd=t72zf|N)Tn+2BZ0g z$Jn+LQ*jIZ=Yu^Rre_!1HrU(YO<&oz!AzMbW!na`giG1B!9EUeq{_ApX3OL%+cwzO zITiBCwhi`^qsWzQ8|?4!Qc$*SaDX7nwhayxMA^2%L2?L!vTcLkICIf7W!nY^OFhcA z4d#f9vTcL8f+*WII7AR-+XjaUqHNpXFhP`U8yqg$VB2z)?1@@r%3#|PXRU(6wk3YN z!D-tD$2eC47uz%xkP>^iU+|-0~wQP2_#L5i76JbHIokEozqp0CN{1@{+8bv+IypM9s`zXh}k9H=H zHt(aR(;ErSzn@v}TtwlwL2TcHh6#s-2(&hgcpTBJGxo@!(ebHYt-_ znn}*`eQTT9!l~|cAUVrt_7lr;H<7D>x!La-R;BP6#CL1OFCo5y;)?z>@e_&ft{MTu zR9RwFE|;2Z<*^MF71Y9aESz|NEfsZ?E6FM8{V?14O@cg4!ZW=9=I;bbev{yA^@INY z2T)Go(}=HDQlu{eUfWFm?-E>*=U9dH&IlEqAEb^!Z)iW|{+SZ1fg2;UD9wT`a7K*x@M$vo4PxQs;qoIJGb~W~B6Z_C^ zsBOIrRu>5p@U3DWKF9K57kbGmXAmxMc}w5N*?^`f??|#n5Z4On9ZB|eWZwi|>i@y84_53M{ZgN@@wxLv zyo`RSPbA3bm-^8*q?DPL`b1jKogaHX*w|Yl@aw4D{Tdz+9EJmJ+gihi&ig!ex2$;<|5ipx`B49S>M8M9Hr-D%h^p5cKp40>QiVrU|-VuNB zT2`d;RH)|CJEBhn%+foePXx@WJQd_o-VuEwU^eGOz--QmfLWENg8a%m@{bCJL1n8hU;Ao***l7cSN5Em{oZy z8d0viBmVyEIK3lOm1+ioAFZ>{-5rSbj`;IV0wUfKe?CFw9Wf^Y=6Xl`JJ;fdPw$9- zmvGWMqE7^*cSN5En9Vs6P>!wlbG#$|y*#T>c}Mh#fLWENf*n`h5&yAHaB?f}i2uYG zz{)$~Z$22X@{agVF9EE)BmT40sk|fpb8MONj_4Bsvno%;S>RXR5&xyj04wi^|0-pb zcSN5Em{oZy2BSU7JL12!8U0q?5&zxwC|BMQ|9xH!$~)qJz_pj-Op~B4EZR(&+FkL^q>P1SH~RxcgI6g3MoF8<`UUGcR*7k8v1* zU6ffwHhm&sMxO}y0Vs8u+!FyaOIgn@5?@-M@u*<3!|SmzlY1gyMxO{s%EZjUR6W(% z25n85+!Fya`b0o>XL?4T2)GVx@ri(3x7s`6pX_jDYVU}@#0g;uww?%h2Xtuf2=Y{P z0!_RlA&QN6gfIf!F_NpuWb}!EM2^bn69I`V z%;*yVcSdU#XLi9e5b{*)1!QUFNe+lU5ioNFDRUgktjy>W0g0^5=o0~ntk2A0+YS}B zO&NV6ASsV#+MWo=Rl+J|K;RuA&RPYBcZB#M2B&ufc`7adp6SX8<_T-J0Mk4l(u%w((va<594n6@~=<>2F z=jCBmX(8Z3*THv+E2S3zsk-$iGa@o*3TKiu!F(-^WKr5VH9}$Y*=M8k4a`z zITm&w2`jcThj#~3D~Ov(b_Fs(5HE8oks*QvnZ3w1T#zVp3oM~p#eH_*yclrQ(d4~N*(SK04@3kFlA*wZWQ*T4$Hve#yEUn6?v&A_mV{jBi&h>QKK z@U6rjBL8*5U$rOjTU+skz+$4{2YSnT5P&+7o7Ks5!NO)MtG7^&kFtRAv0K`M zx6jrRDJ5(-_qvBXYItvfZcINXr)CBw$3Bjex(Now7b49n`-=2&6#fM4wPNPXUkiHa zUO=Vgg6;;iAGgTWiluovpF{6JS^q82hw-;AfQkWPfuGCAS6F~n6?`(@Gbpc`W`Hvd zaH$6HOGCE?KLyvhb@Yw!Q*eV@XABz#bzg>Vxz^?y&YGNV)4f3%HqWC={JVQ!-td#l zu*?(hU~M@6=`FbPlegf`Pu_w%|E;&++QzvIt&q3iiPpE^i66ZMPyFaDxSR79Jnt9Y zg6I9hTkt&f7MwfC560w}9pr!D=!D;OfXT5)-d4M22RSM64ss%`JIEz=nwKP!2*xEqM{x02@w;)Y3FqRDdw@j_-{ zPM#-7AVYNnR>QUC2Wkla~oHBpgoUazX0DMj}@T z(ioN!`JEtRgR#{1dqF0KwODn@7D1YVDIi=aaI=-!7J_mW5=+LnGJnmx7^pUOoIbQ& zzrfD#_B9yrP>%0b6U}RVf$g=vz)qPL*xn7J@e81XvpW)0!PzWT&m_|TiPKJPvp*K- zc7n5MF2%vw6w$%ipP(_@3C{j2wWw|O1jR&4=e)pH@Gk^s{|%Bf(U_z&bvC}f$48oK zOtkdi`S>~g-wDpXi#4m@Y=R~@o3Jv`(v#U{ZK9>85}}Fq5k~BBFiA&Z6D>W9*)P?Q z?L%;T!P#k)o8WAAoF*Dor8aseG|hr7xg2=Izr8kn%`s4bCQc zyTRET(aJbDn@Aj-O=SDQ*}ub&TfEKwY|LgHoP7%@+Yiou5R^DLo7dy^gR@DAgR>up z%=Uw`*_}8zdm-53;B2nj*5GWe%+}y+=KE_6&ORCaYYonR4>U2+UWIC7q7g21xgh10 zb3P<;hFnl~A3SdfCr_~L5}*rQLphu*GLyq}o1%?ttCa^j2BSbsGOirCjPwiaqYaJ+ zNt$TbX5WNv#=+UQgKmPe32#3*TP7FPu-}C)b;iNjUr~V$&L$iOXA{|8aCQPuT)Kr4 zob6)twi}$yiHd`>$rT4@^G5n#2+qDAEr^4&c`3xf*+k;tY$9=Rb`k1{gR^@8*?w>~ zW#Zs$B5`mwkvKS;NF1C^q0Of=$k2G_yaGk}}mY{GGH_8cHE z(RhO)C_3~DY_4;SOK>(XLld0M2{FOhtV`9p#z@2_8cWJ~Ey~KnI=B;Xq07szoR^1L zrGJv*XYifkO8E+aRNWe!&HIZ9&i)ru{a_V?qz z-e&(WdS>Zu_Jm!%&7QEQx7ia8^fr6Kk=|xcxJYlaCmbKzzF%u&!r7m*F~6|Q{weU7 zUGbOUIZ*G4CunxXv(08#Jo{&M#Z$f670>&&*%iMIvSwF2VY4fqu-O$)*zAhubM6qm zE1m|E*%i-@HDW^fs9nAqgi?N?;Gd|t(7h5!q06Udy(?Z7-y_A8?U>Ds?1tyU?4u)b zvuR|JmF?aM@EF2w_K+O_k0m2Id+7m^yNw>0BRs!Ciu$#TM0`NhEz3fQBa|j37ko<=cjQ~x<+rRq%3M2{^^4p}(k&&oim;n~ZWLJ85cZUgn+YQ9~RAo0N`+DE5Hp$j&Cu!-S*kN*JS=#|Rf?v!ek& zNjRH5uMzOmgiEq_Af6!eEMfFv0~_}Io~%F0ywV`#0$_RZ z=w++N1AdF;=)-0zeCKuQD9U`WKXrV=_Wf1qxR`DFNa=W$`aU7-Wp}2Izlo0QU&#MC zVMLnbQ{YRUUkiO--%fqca?N~0x|K~6{*JJlo!1NSzX*HTK3qTF6ArS668<;g2z{Y| zV;>Gh75VmH6lOg86JXJ$Shlzk(QgqA!>VWqCqSd-*8(nXRk zGdd6#fE}HTZzsAG-)?jZzP;${j?jvX^*}P6?QIbB8#AT$`7k-vDNtPn*2h3~vw8Ko z;gbGf?=G54LT*RT^sq06h&~mn!sbn-K76GcC_U|OpmhW;Y?`^L$8f1#2UfXXC#}p6 zthv%2h$4NAVJmRq`Xbp2Im_*5*$chT2~$xINaOhO4e<1pJkh zTfM)>BDA*@+4Q=pc5G3a*0G8$MXS&bCGnD$c!J`qitHqOLrP+mk|@MA4uaIZ1Fmrc z7gJ!hlvf?io~}`F$nu-C)(bcu3ybWTvDSq-S{LSM)v#ziK1b_`Ia*KBT4z%00oxQqR8GBYpTf6RG~CUuQe=Mdn!$$wO5YT-dbya zYW-KCJsxJ08q0qb$~?+g{;N>NQZy-8weNc=uafcmL13%8fb;ka)bIrqz?ORf_mPG5 zjHtAmYeeZYBCvHY%c_y)D!goO;8M{aguWN>zS8XH1V59wRQSB$j{~=A$8dP-3!kjF zyfsoO6NJ{B#xblfB%GWH{AzNIDEt9d;oI=&TKP3bEDJR#D!)$k5e=<;@4%Y2x&Rd^D5*~FH$99(Q>&(gEk z=R(CpiaoV&6)!MH2I)&ERCALMyw7alZ*x7kf;Rz2MSO0k-G^d#wU1EIVN%iaaYc8v z=gPC2RYiBT&#h=sU4BV={vk_I4wOE^inm?TYTS`0PnvnD;@3i9&%|onTu9n@>@jyAF=#PBHv+uEx#Ln^Q`K}*sR+`9=|52e`PFxo5=S9|4^y_4w1K~4+EdY zC)VNWfH|wWn3weO93>ycN|uX~-C`vd=PF6(D%ly&TlQ%=J?VuGs*yNNlq`V~H4S&_hzM?+i?~Zp|d8O&KU{X zacmSJ(1cGKK=>iz$ScAR5f_9t@=yRI6br+cYDhsmi}b zeC(DK9+Z@#8KP+F0l?+{zh}hz{~5q#b@A6pt*+trROD`&3XNZvL&ZSRcpNS}rE%|A z<3Q1PTdZ-QX#9X0am(?xr}0cqZE@U2b^YBCYb+Lx?QjdJxts%8EZyr5T-|ay3cf3F ztD%$wdT@d1?lCsdmcQ?4x_fW|XX<3C`a74*!3Ct*%20siQm zPjRxz&(77rFb`XZRMB{8-lo1&t+I*XxwzPx`k6sH@`~t!o^B zwz_7ZME|4{=z@=ZPr-TA)fLO@R7&zE{kH`8lfK%hAglbO--{CYNq+;tk4NXeg2$&qJioutwozt8g=`-ygrV_7V~N z0qpALyhPY5yRqX-RD&Dv$YAwdqx7|aA^R~Nda-KD$ygP1T4M#UZ=$g3teZY*w266S* zNcHzpom6*?R5$Bz{e&?e>a2!c*q<)34%LOmGRVj;bQjTa31n0kx`>Y3iHlB!KTlk` zP%2%>KLWUPp;Y)s0^fcYzGgo!4a^8`l`f3w3UR6a(tsnl57q6|Q#eVxi1-5&1?LEr z90@#cRE(bheCP?pFAw;I!9{?pU(ULm?FbKi&61&vC>!9 zFuoG)>MsNFDIUHbg=V|u9Z0Kz=r04Y7S*bO=pXP2e=~8pr1}ROh(WBnTZ84XQLEyr zt+CB0V{`7!DLV#bhokJd*0N!jpv*;?XZ|Sl%qHO|BSL9K<@uCfa2o-Fv_l)zsrwsBc0)FT`VezKrc=sOTeW|9oE=b!ueKmy!Jt)OZzM4pBXEQpO2i z`=c^W_=BxwvKn(IyxN1P{V~QIie<7>c}6J@tORz)-v3`5ak5E%T<}=5*@-qK5>sFz=!oaTP1!<-12k8 z&$mOAam%%j8lK~QbZy#PC>Rh-=EMp!c57wq%IBL4V{Muj##G>qUq@`CdfjE;nLZWc z-G2uxo|8fBUtoX)faNF|%(r57JY#z$FsxW3&MC7ur5`im8;$rg1StLv09mMH6%|{z zqvDaYhgM4qyal=i0#HQ>O4_QZMG39}(~5wtcr-2IV~zL>0u(>Yh?7lPuvo;ch7IE( zH#i=?GFf_sxF@RH-(VTOOTesF|6m!u2Z^ucZ}8wWe>v+G0=F^c8o!#joP$0%y$Urx z13VrtT!EIoZ~7mmv!8+}!)+a}TU!J`I!jh*EqQWgtQiAo&r8?gmq|L@laidV;YP(2 z0E5XU;`;;3X#wZmUY=fH#OE9FbBy>^0Hg(E6&2+9v5Je*_ZSto85NHi6|et9#iybI zqlCa&TsF@_7Ecw9xvW|Cp_EO-A@4t?Y-{Dt`9aU&v>IOERNC=Wsw-hyFHD8}q}wu; z)(ODnC&HGgtOg!m2}3xp>GXM+ei_#pAj-H3APWg4gUKd?atg4VK|!%)w@W`~#4k4D zs|Zm1UI1;E@pIczkxjQptEC0+85IIh#Wz1u;h)G>w7n8KsVkurBf&a_Az={ zT$HyEVBL=ZXuGP&lQV##;-gfAN~QO&8Wo=y761N;io%nAs^ZPmu0};qkkzF@fUTGU zplvJ2lQV$Qig#1ef&+~B0waFzPsCSh)+NwmSjVd2Y(~?Wv}O?eupZRoi<1MX^nh#BnW$@?uZF{DMG_v zCV!ex&z3UaQ{`m)9mA2;TP;;9(;)|3&bnz~%1DJ{<-HtR8n~X%2nm>KRX4 z8n7Sup1fi8NGMnlH;q43cFXu0)3g=RwAZ0p8BQyts(%7+yXtT8l8}-4-(j(yJOMZh zeNiH_5J0<3;%JoQOd`weTRjoq9VA)thY+CnA^>g0m!kxK4YjyP?RjzIn^9)B*nLgo z=SkzQUyP+IR^L2n{EzQ>XL}Esraul=srwBAtot(nZI>>2a+)r8n{!mt?Il{gm;l9l z0ca~;j}rVf{BhL(Veef4tE#T_@pJDj&-bKZR^jmwmSO% zzO~QVXP=uJ9)g{=!-bW#_j>KM_g?#T&b{Zr*ADCY8qi{U9i0kbTHtpUau5Uy> z+U`pWwn0Z4?s_oAc}cMJJ3xsx+&4gRoR@Y#+c^INRsa8(p!$FJQZLv^b#L}hTH)pXIB{*roa_sQaX8H7!{PtMuyGYXD_hPXZxqT+f76D)fr648dEjN`hrdy8>>tEyk4 z>U(>se_hnQSv}lkj4HSuMVoOyN}Tm(ZJrCpfP$}B#sI^ZUDXQ48~t?fnsNU!#LT#V zqaO$FcVS)z8e74R`(-TbAFV2KXs7uNs``(j`g5qJzu6*^k3nL@<-s_nkIfJc%=Iyj zXBTq>ud#((AccI^7P3YPnG2(4-CEO+g`A7L807LlBi0#1S+jrL3QNCDXifKtrKU!8 z_qPew^crjFw+ULhi}@d71VNLV>7Oz$o9Evqz65^T6~T2QZV+XDVv)mP)t!&%LW8*j zM!9KFlwGDxc(Y$W9%X#Pdba`+u{|HR-hD&7djO@GdVWK^dj|Pl_59{ssBW{C+Qrhh zt)*>Z$@`?Pc-zEMtRrFv?K^u0r}Y)q4%z@7jY8O($XFqE%3;TNv_kB(An#ZTFaBsR zOk_RVtkscX^&)GvO{|`6y=&|3UDPH#oi&_+I3=+QR!r1SF?F1E9~ZUS#1}22)-YzD z!l)Wxy`aajJug@<28h_lv@UedM*aQh<=D^jHH?}?wE@U9%g^%}Mt=oW$l*JtP#p>8 z{-eC*JsRC5M$d$_sl_faWxhSLE1%JbH?*Dr!672}c`G=?5ga0dwGy&J@)@$V60Sq? z8LnQ-32gr#=F$Cq*1!+*=(H*6hk2%?zho1AS_FS-1wZWwe%c7mK5h;KFAzbNumTX< zbNsQ|AQyZK7 zX@#bUkT>g#WYp%}Vi~oDG5akB_5ka!>HMEqhX;t*$SZ?DX6d%`{|=|8*w1~o-U3{Y zM7yA_cpQj!zRz}?2Gw~hz@|^b!PL(02Ces2W%f4uvrCM^o_CWN-D-{Q5~J&2)HL8O zd7jJF>YqEs{f1pJ#9DeuEDf8g?hO%34_He>#L_d&n|SdVF9la2Z(_!0%xp*APE-=q zEb{k3z4YSl!Ax;n1%4RUk#mE1cdqsBhjBLEf3b8q0{<}1K&)R zVu>%RGGp-5V(D0Dnoa$u#Zrzb?jBB+>#d!oV&^?;XT8{22s_53^n0Az@z|iBg0{RxI@^lYi)hK!AkX>&1E@0A1*YljN@05A zP9bAREY@u~Lk#DnedN5YJq9)!_bWgr%hjc~*!B2DbHD5|j+~FoX;;!l*}$%G17V|m zJV<968=ql%sj=~k8l;yQ8{5YreJ;~QrI(O?KGP+oGe}>-^w82>Os`@ZznlJ5Ei!Aq z$8rh=Zd}N6ZYRH+e6RFzvU`}uqjw&m!7YuD34TdhGWQB(_Ww)Ll9QG}Mt(_J68@63 zo`vqzl`(eUs)r$ddgKB@qb{b$J+ zd1p1NWdT)T!0vZD-Pr33dvUzOi!TbkUaLyYo#I`1wsTZlOB88jYCxUT7;`5eqfniLXfX<5@D>o&s zM$LK2CAQ~TmMZ$kir99PGX7jNKP1W9Yg}dzO}qd-6pM?2SulXOfq}8Oc47>~#lSy6 zq4ryp^b_Ra1!n8nc=^&;?-+9otcgEh4W6}kI#!6eE!Nz#`E0U5pN4t<+!~T>{rSuv zJKyFnM&6q^jE(t4YpPRB{ew02MKSf)*3=ip)W2C%Cg1;BnkuKW8>}f9MkR{|Yb7^` zsbe8(yxJh9E@EE1GWpMosqOS?tTlC}m^$B@8Y`y0OI5kSK2}Wq9Qg)FdbgvE8s+QF zjq>&8MsuHW;!d=CEMcuq5UUSZs|m51_zZi24V)0GxNYB;O}I+dROLT*|7J1m)i z`b8c)pkA!}!rTp+_%#YXW$$f_l){^^pEM!xl&DnO!k-eg0~9`-71|*-m%@@Me1|Dq zM&S-qc+-9C_Hq&Yf)y-x1j|LRUas1f=kcm-y%5U;%RH(Uwx^j zl=QE1$D(;aE9Cx7(q7YhRN5;_?^vb1j?&&h@bhe&%SEsnmo-g2E_Vbk4+PI055d(U zc&Zg#?FgFsm+jU1 zJH^tZW7N`@<7|U#tfeo@J%hWLm&W{ZoQ?T7^U|PSj+jFILYLz&32?{aQTP03?4*AIgS|Ped^8bi@uj($Q z<%!lZnh}5&wX_ z3Bdaku|40kHXjh1Yt^%*=mq!`tJkSzIpZz7F=A%qDZ{m*SBd&nP&ZLwZj5}LdFRH+ zzauZfK=b_Nt}UX$GzdE%CF0vvjra!a7Wg>v61K(qEWbC~g4avI-t|F?_VW8Is-JGv ztEJlaTlLdLy*#IS14{oJR=d@z*Ngi9v+Av)-jY)tvJ>BB=@YGbnW#77wu1?eiK2dH zPIZ)C_fL$j(XshZFjK~8Q`;#g(k;BhW~Jo}G@Pk7vcxy_rV8$TpqFof)HGSh-(=uy z)$-^Fhhq<ttYps(`k*LI3Tu%@iC(dq*%Mx;@p5~ zNzBE@3Q+vnycYi0oKXCkycRylfl$0VuZ2IQClp_o=keV@dETHY_GU~&hq)QU?KVHt zKK4g;Ge*Ai6TBPZ3^7*9zYHR zcxCA8eEoN4@+gnJ}i|P`><4I z!iS}%X^9U@-9t;k!%~f<;9;p^$v!M~E9J`)x5txl$m2Dgi7&-Pyl5WMcS<_1Xf7XH z`en%!m?x^f;Afhjc_&CV+B@t8!UJ`KvljbE69NhYn zh&i~56}%*ZNqGWk(s=@@Nt-8-nzVU^rb){yG?PsyL6c7)eH~@={}22*0|apu1<5Nk zlT)8!L30P7|D0E7CY@JkCY@JkCY@JkCY@JkCTFp#<`tSr-g6ILp_z1Ep_z=nLNnP` zk_R#Kk_aaCB@s;POCspA7c0wP$Cm71hEE_p9ykRdsfc|7Y4Yr4w8w|GCYLd(FNvTx z<`tUB^HzYaFNt8q`2feJuguo_FcgWb6MC?T-cu544`jQB-3=3018u4+=Mr)FP z%O{STmqdu9c}au_nwLZ{XxFg7W4Sn#q4;#q}i-e8#`NBw{tv z`jUuGA+0ZoxE*PINd#@`OCso*z9fS7%_}sM|MC^c>q{d39%+3^1aNxyA%9q@iis#qA0PNTu=2?YUlKvKJb@H1 ziJ%el3QfEUVsHWHv*0BW%$O&T1|0JQQoJOBI_4FccuB;Y{Sm1Hf|o>)W1c{oJSpD4 z5Z@XY5WFOU9P^p(tU+yvRydl38Z*Q1b?Gooi zJu@d7&3fG#7yvB<0~{9K-MRaGCd@Z z0V!XYIS*N<$tBB5LS~vs9*@7}tI!>vib|5CowJ@Y)M9Lf)?nj05abD@j2sz8!4pWo zuX)U@!Am0UK*j7!B8t#$@&wZ4*cj9138Z*Q1dB1ZIg;aI(zz&x^&XN(oAxCUr_w<1 zk_e{F6G-ur2xiO^Nb!=0b})+YHW;9@K@U8XmqaW>7swMxlkO`tlS`$e%o9lQk_bwf zCy*wW#W|6hCy?SL5p>kNLK82E*olmJg=X@+cqvLUPasX6ALmdoPasV$myCG=X>x^R z%o9kHE8`cU9PMkK6G%xJ%dsethd3CBw0R}= zSPl=3(y2nO!QcLjlv9xqL*YvzIKSviBHlzg*i95o{}f~o^aRqCkWqyb?xjMGCy?F< zI-fvVn#2Tt4E8Mp=6)A7(0@K0x2ujiVo%eb!;4r3jP6M5egoX_gnePEotxsQbQgJ89bO& z1ivJIX$MaXoqYp1rGJMVWbqu5ywdHcYVnCo=au$DpA?_MbV2Ezq%UB)NS^pwypZXV z(ow@fU(EE-((SafglV+>x2U{yD(nY=P<-XTAycvg3SLPi%%w_BLb|%-9Y|J|Tn$Q9 z$xSdZrX&yay(Q;@@}lSaw0ctpx(ON>-dg;HTd*0EPASeXX*#9&i>ypu>CY+u*JF`R zm7YTDT~j)iUVoV~wWX6O^A*ZWDxE?4J(Nk8j%1C$Rsos%(hAD_ z4b#n~B}{*voj$E}1a1E9E1(}&x|O=$V0wD#C6sxXo!Ekg=a%1}M$vEn66~No@SI5a zzW=NHcupi_g6BlCVdZtGcupj!HGhejI(BRSr&_&&7W8;*&sEr9jVr@p5LBlB-}R`U zJe}#b{!||HmpH4J&hZLHLS}rUCgy5ll_s`m;!Y%LDEycvp4P-$n!rg_WKhxD;MRn} zIDE#=@6Tx>_IL1NqIh(^cr;1wC#>i{5zXLD*m)X6+KnXNPh}+AMbew_Jh_#I`@ZE? zI(EhwJ2itp0?A^|irC8|xrZ;A7$>(Fiu<$E?nT9G#f=hC|7SJ?#rb3?ujb#`kiWwW ztNPom{_hH?|B}^zr+^Kce?y@EjObs;^8ZEjYhfd{=UZ0)UqrtY@}~SBiT-h_|4Y$7 zjy1i<(SP6S-y`}9tp3+Te|=Q{)Kgig=$A2SV|$8_V_!kxGr%>^dI zZ{l;uNt(yei96|YK>w66KpC&5f|=>!44MV?I&F|vX1Y}7epcq?1z@#^*&o@;&6FaC zd=?j*SOb1gx)+;QP!AjR8$qi9(_Efm8_fgRT*5WsV4CB725_BtfEb1c*IEzi#Dn8t z#B^talz1lc5>6G;oX@g3hlu_((SOqF4-x&3Tm551{}$Ef9O2cRMSVX09&57b7Z27J z=qLJrWA*!s{?n@8A^QKraC)^L^(Tq`X;%N$ehlaTw)(I2V>s8{82Eny^_%{S`Y-g8 z?m!+p6|})6v>_q!g6J%Pj@R@od+`VTI4|=<6x*}UivB=EFNJ8WJTT{nV)XOOuVx`8 z|B#rvm92h5KSmKh^?=x(_pPZL#MDoyDl^)RVrt0eAlCE++S-_+A zo2I^%V00PAw*p2b8}8l&!^SY~4H%?HH}@5D{66>elX~i^n7wTJJD_Bh3wyeBECm{}??TF8SrmzelU3lK%wrGVDi4 z{@cjcan;}lW^ijQF#%=PzYJRW&G^#0GN!%(N&_fR;DW@DT)e(2u^0-|9tSP9=s1#D zVZDgV%gx@W!TTtLK$J(nG$?VqA@Xvw41G=~l4!(oDN^j9+q0x(f|+q8Yx$FW4fQVA zjO}?1q9#0klFtZO4Tr5kNyuU~#VZX`Ks^Ql*@a_>?z;E(c zwUgA~EVPx^bPsjDYjv7M=MAg#UC~(?)v01DTxE666`i`_T9KLaD1Q;kCf-iZV=H_MdAqEjvvFrL?<(lXvhqJ*n%-gwwkU}yMnbxb`BL=jw&*%3x@1ofy)33hrn{MWPa_?_?lQF3KDJk(wQ;7{-~&@lCl-p0HrO!k z7m52N@#ywsYigF5dcc~RET#%FYHG5WI*WNRHCasEfV|g~W*Gh` zuAT9Sm|8m$qO#8ZD9+CKi8b}3I6LDbd)3sBoMi8DrzI&6YFPaGGu9vm_G@5 zYkvLy%ulBIdDi?2G5-T=ex8`$;F^1Qf96WZ!JIGVigC1DD(2Wrrd@q8cN@*sfX&J$ z!PLsPfaX@dmFE?H;3Q)8R*2Sr2Bha;pq}3ydy9#$BQf-LB))}2BNB7IgoHO&m8+4t ziK^6Sry%*unV{;$s(LjOH2(!8!l3n9>1GjJi;EZEgsEw3K*GVQm0JvcOcd~FUVjTn z_rk*QcOfxP1=c`FSg(SGxWKhiz|^0>*swc-FykH%O(A;~Fi+rXH3=nm6>%@RH>94|uRdUSTYD$`I0%l`vWdN)d`G(4q!FHJ=%Z z6g#Ty=j?Ern^u~=C6dRmq zE&bfw5iISPeB%cU#IZ0AgC^Yyvcp3|At}T)i{q#Y?Z7 z3%9D3f!;>J>_Peu6E#j2g5$!1F?qXfl9Hw$ABy9`kIO%0h>@5WB}STZlI11GjW|Wx z$u{%kz%sgrex;o({HhE53b^&IAyaNcsDPp4^3}5@NZ5dC4h`b#AnBmjtT$7n+feXS zHZdKVmJDPtLL=fBp3az&@!}2=KO8)H;XuiE<2m8PGXh^n4Mzq7xIjlne;pZxX51yC zabM)bJ**6HvanL@4ugSVFPrEuY4%PU!r{dj9uzjs>=7im^*i94;MDP0nI00x+kV+) z;z0m9l^7@bYefsSqNrgwnXrDrz~hAB)(=_MF9>VQ2pr~^Aa%448UiLq`QYKs3^XKc zc8q^_ZaOC9u&7~nR+BL>JaB~l18%8s?c_AV(M7wDJjNS`f=3+_W5jblDa)5;8Ew^M zo+uC0Ff7^W6}Cv>rUJhuQyGe4$kQ;*j>(J7N^)}3S+cqol`eyWV}q^-598epym2VS znK^6S7#SaS4@P>JF(ENABrrlIg;`Y3o9qt1$PAE%U@!(bsIrH(PE)K)>}HgUvp>=NL}2aQ}4KM9SWei}aoZj|+RgQ+m=cC29<$weB;T)((1 z_FBKtKU}}eqA6UiUqKTwyaw4g5$@oy9LC8I6JA5Z@WOJ%eja8<-EgZdp;H=$4sC0! z20=H{IwHcO+|gI&M&Rhs3>GJiz=|LUV9gBZl$ii3!{9LVs-T7}7GCTpazjlmGw7`-5MGH1J?o1xUfefj94U>e1Nk2DC3fwSpc0D=w zJZ_li3>VBZ#U>~RnvN~8eI;QrC}`3^cChVs;W{%NgJ!4+nq$JC8D@g!Pdr+j8BhYa zCJY}lYmMtOfNaEY=843NnRz}tcLXP&i6*du+@weV9mI4Ku8nzSXym(b^oN>mOdOS% zI5N|VotikkIFrwiXdDd<#yz79)y2Jju5H7@I2vx^C|nYyAKYmM!)#<=C75+E&A4ZV zGI!P)?an&R5{U&;XC3T;b>TO&OYiB%i3=GHV`OE-$vRvjgK7seQjMb_H5i9}3YrD8 z3p}*T{djoqETQ<8y$He(q9r^2oMjS=WXR=gl(w;%pLGDK3#KjDWDJjf0W{6!Yy(Twb2x*iSJ^{CWcf^;;ZFpNbz_@r&jShT@i79H=S&3Kmu-|S^8 z%y>`PX+lPevq9xFQDw^z?ihDWSBG-}HmW+NdyhZ2eHrGFqiG~?bl(~T@dS;$VCpt! z<4I05PYy$vyHg!4h|an`qq9Erhu$=p12_Y`F%p%ieN%*RfL%tfD=c9I=9>sim>dyfA2SOUhr`4W24+vjB{~NWLZX1D zRk=imc}^#>p*o2j<4$73!kGzQC3B}%J>mp&=?FXd$OOl|N3f8yLVqmtoqPEu+@xl2 z1ZvTo&f4zIbeOFo;p2qWA8b){<0u)8qwH%p?y99hf(bhalI*A{(ZzI-E~fuSgT%}s zY$vWdxKrX6Pwi8#}JD;HQ~)8VWau9q;Kb$K^( z#a6G#W?i#&roUj_)Jc=GVY55&W?4U0*KjVuQ=G+pYSt7wEpq9W(#Poq`ssRsm(&?* z5O>0l)U12N7I2x#bVvpBT=vBRcQER16FtUBPj{O^mN!W36h1h6f*zt1^iZ9k|9p0t zHlW;*z~0x(NkNEn-gUNxnSftVW<&M=A$qO7A|R%G+=r)6Wz>&BCw zapUP$fhF@osl5Z#R**`Kgv z9P!uya>V1waMsab!|lwU`LM}~)&mTja2$oV6oN>O3>mw7VPHAqh9Pf{YviS!2(Jr= zWqlaz;Rxw{RiZH%TbM$*k~M|<4rcXQ3Arfu;O;GCPoE#`VuRVA_csehA*OPk$mQ^y zV_y}_4m@dd5zy^Avc4^h0aPwmZBV;$CDxf#$xLy&%bpe$Iy7+!wPKC{n>TlZzl~RcS6z$UQN0 zfntogKv5mNKrz-H3ZzkM+$$2}0+WbKTxQTK65*N6T`Rch?(1s22>|<*AE)X#ki0%v{`%{e^%=mmrt@I0rYIuLG*8x1fs+i_oZH?1xK*ZOpE znVZJNVh#pwFwNR^e7Hj5OE&3Xxg0lwd&Uz&aU*j;Odd>E>-i?CjD?sn#8H&}xSUk?mHwn^_Txrb|KqMxG25L%uZ-Xogk z?4e|4bgx0t9qg-g%hnRzsm-)AK<}N}tmw$X9aQZI)*AOuBR3PWZlB^y(tjGOhI_S2 zzX%*GAxG~nxM!E}ZmNx$-tq3P8<@r2D_xkYopC9>$O^lAjAd6e9{Khku3)<&^a*ylqHTq>h9BPg(DQLPshnr*0UQlMs$PF^H zzwfo01lt%npog;{!^YXe^Nwm?$M@{Ojc%y)d#k}hfSVgyNF@4YYfp-PZ$I4J>wy+m znaq*=Sm(rx=ncPsZUTQ=c&NaISW}wWP`O{haTcEzw`%5MNVs^&L|~dO{0a_;Y@pdu z#YlzRW4ZLj9CsiY!J~BJcT?tPx8JR{{;E7b?XSxxg;F*~@2G zxQX4!h3#O#1edmTu#mc+;SyPw!tVwIix_^Nn1^3=nvarvZ&NLQxGo>cTmS$u9wDv)G49p( zqCqfWi4>x?E2uOG=2^kr`Ch?YfSA`#)C!$E@BhWxt-u|io;ZL4r*8pzbdV%dkfSW9 z>hS##d_XKMBqG=MuDfl!@*f28t|B4cznzJ{1)x}bW2~SS0O9+$w+2N>MhlUQnt2yy zZck9N^Ch6deyn6erW5#j0LXU%Js{-z4DrK~ZpaWn7SPpNF-!~}YhAgC=e0FPg6t-fyW_8a1@)e|!-P%G0hU998|)GSMaq^G6A?X2N5fGi1;o->ta zE$Duhg!bo?DB^8t$1`Z_YEe4lJ}5mPRbSW$-8QN38LIOlG_&a641JP` z_ei=aLws96zZ3YYL7Es3t&?aZrl&FQjPC&9bvsBdJQ?NEcUmMi1IYT3Mmx4hTb@C* z4KTpl==D7A-4HK)pYcc16@WqB29Fen^&rTP05DeW+|B^(24ty|v;~kuo#bu3HAuQP zw+6}V*Q_#b0%;FG{LmJD6pnQo;@<7#29v{HJ2`MDziHfmcsmns1JGnd9O6UJ5Z67t zJwe#$O{k6Gx1Sj@iUAlu)j%vE?p@bhG#vFe09YlCcAng)e4=DCN<_+HJ4I64kQ07+9%m!m|%5E^u($V@9{4?Gx=3;=~yusFD zqG4u!CE^AG)E*!+0WYLCGz=+xTzboOUDM= z7_##3LW4k-%YCWXU&8*F0w~7PJ$NJfV`8mLu%zMXka(rcC8#ppGJh}eOovI|1+sTT zZXW>K3vEz5@v`6%0QL)y1F`?m2`rOg(GMmoy1lXtGb=>1T{ZVY^dS*nO7yVNR5z=m zVMD(nev?p@q4RkDWWluF(g&=Ku|VxMz(920;KKK}+c+hW?UM1fMU8LmqoHyaxHV|T zs>mq#fHt;ipSX@{dlsMp@yJg$KhY{c(z`TnBD=Xd!_eO?{0<^K>J*|&iS7X8A$Th? zOx-Jt#YFc5^1aPoq9Vhj>8-`=seJ$x^gIv)7NJJ;764@y*fL4rT!Z<5Xe0pqLd)l9 zdj-JJwiSbi>0bj7U_@^_ET-QD^n+mGf1XmFVdg!+AoSd91nmZ|YL*PEstn0-44&eE zrSxJ>DS(0OQMV1bm%AT;DiJ*Zh_oFE4;ntvL)m;1ID0~0C((#LXVoVSpXez-gipfL zhEK$qCc-Cy^C8-W=mkl35&aYZ(Xh!$_?h7oaSn;_Nq9y0XA!+>_+gij@Vem>arTFP z$j`&{QwT_)A3lbW&Gb+J)^wtBhs8ARenPYx{ac=4x)uOYB2H~n(L)=IB{8=li11uA z-4jahQ@Ir|Lku8x;fwhjn#e_s7+DMhX9CcyL`wnr7~QSa877wjM!-BG<$awN2G`q( zlk9T=<)YE;jp{>=XSW>ytTVGr;BWD&GNfDyK+#0I0llUm5^n)a#uVh}I?0?90#)t; zlz5lPeBLv~6kC?L7u1IU1Hp;Je=QdEX23A7=h0v-)KaSGEqT)bqtKIslXz@puzEy{ zP+&R$O99czfZEXBMvs>IRPMrp#pCKoL<-hjGh(-T*FCsB5oF3Ta$BS-L;lr(;gHBFK<2f8!H$3ttjgRB`d+|5cpRzBTOfjp0NP*z zXqpBPskMMntbbo|Kmojf6>-qM4QRI{JBaoI_A{bTU_lXJ7#eY#SH=Z;^SxNWcoHd} zR+AY9-blb`@Tb-ESw2O|0T^1#fK~xmD9+3`#EsGW9HZ;N-T*j&QHpc}VDu)STL2?5 z7;LD*z8k9K?*$A;+nV;y5+L(Vz+fw&Fe;zyq{sQb@Fxe7uE5lWG zBc^Po7a11OQb4a5&|L+O)ceeljp#dov%(E?h21*agmY51w7$ixh#nESby)voj~+RiO{nU!FWTUW{8hauE7+ z5$lU2^cQMeBX+9MD~kcfS*E%G`EJfzmLTG6%?Z`pVe}1H>m7Ep^zKcQ41HJ{mb&y7IPhfvjNzAf!QrX18%cAL?4(;aOSdg~4#->;U3xEE z`i{8Oscnja)k8f(<9gVG+x3hrnFmnRcT90aKb2%B(aVxtSc{S;0MH8Bd7`MLNJh&k z#AT!l<%-hMDHw+as3 zXei4t!{LhKf{t3Tb^&PYy13UU0mi=L1%K^BUQA^tE(++x3?s_3-!3yPNOUCtg+J>t zaT7qR%jR7q@zzQ7w*bif#N);BMXLv=1 z?d#*@s)-HROj^Q&}YE2^2dy(}qS^1(1wdphPEt?asx9 zX=?QMHvbx1OOmL!7@#6d(~cwJTBA1R11-&&8dz4a3XmI2x2nrMJR=V7HDtK`xECOq zY>7Otup-}zm|V6DTS<+$Ep`M?3N(L!Ez+$JxeMSlf({JC&`{ftIzm7!vP8~1uK~~o z_h&fsw8~&{0?3ir;Yx%7q!MfbHQ_c#?zv4Ha|a5%LJI8(Z+Py^MWhsmaLikz%C8{% zT3@7vD%FW`%fkd}US?}vi?I}pvy>&``(Oo&09Xr%&H%)5v4eOWz}zBbY6}2DM3(?4 zM9kZ&(fg>hNOkm%Yo#MYD?Nrmq?#QOU+|+XXm%2*Kxaf?fe0)lq5!r?TInuiR|u(n z4G@ci!GD#K0S5pewgkmb`q&H!$nnYz?4h_uYGPSm7%%(WgdC79Na!_Ra0 zc{%uEC(y^k63ypf*nAC8hd52|+#*Ih+9HPbL0iOVM_a_uK4^;= z?P!Y_nzqOdK|EkCVO7|0&a|=^q;3F6L_L!1B-&x9L{Ay&(?HLfBm<1r0;73iv{T)X zeUg^H3Sv7&bP>_DfTVX_p1IyIy*fhzeS|5Zn*l`#XLE0|d`3-%l)Zofm@Ya(GD&#- zC(gB-qt`aR3gZ0$e5r-#A(82c%8>XtU^JAYBP4Q}+C zn}jU@I6?F?fGLKlR}6!Q#-im@^J)?3AX3eaOsLu6YKD$cbE#-{60HE3sg$V;41-9^ z45w0R(mbb6VoJDEsl(56_;xCF_+lr}w^OOZFLLzpY7q1JD$0BS;7&TM<6Bv@-0~O8 zL==@bG?l*sc33W=R-4ZGR#qE0=L>fc(F#d+5_KCY(IbZXD9}EWq(NFk=n=hZsCj5Q zRzEUKw}D3=Q0Q);8v(s8f$jzI4v}dmx?5zjMiz-|r_s26XhxP^t&fZ>y2GYBfS9(< z6A;B~wi&Cega_}DRPH2@nhlqz6<`9EsdOJikS78iL@LnRLIQn?yW1Hx(+}me3qef<*zr4BRG$nr;4~OD!}0Gh zLRlLDj@^)J_mLsVD*Q3H^(fl?djL33^d!51Et+BKDZ?O={t<>&gqlx?KnIa(c8KQF zhC!s7VONHlovvo5XucwvokXtzOb0MUJ5C3LMi#n87K)Kyi|j(8Hvqo3A(ml6eOYJ^ zJTybsIgJxj!ky9|N1pb1_|$Toj;6}N7e?t<0H_8LYt?7{D7)WQqyT#c-VkZk!mry> z`$ZAxU`eL^?7Z?UNG}Mtorr?m^89veH(=Cny$p3;Hv&Xdj|ylYD0Z494ole|-WJ&4 zrESYA-#ykV4ILJKuULtF2!=TKdj~lCgxf*%9RPMZH*L+(3f`iJaylLbddwu%=v|O|Uer2?UJ$kJ zK<#mn?ff3l6DFx@UxnQ3qPCFe*P^y5P9U$X{%nKg79tOuYEi7u1m=|p%LbucxlTMw3^r2&v7dIk`kQYr8q5$GUN0cR!x z^+^$ECwfYfc8KglmU`wc3R4u49c+bdn?)dP1HcKQ+W^K1rtUHfBKi{bj+$K}&_SdE zp?4IxS_C?Y)O2SiG~MZ%4i~Bq?i4+_S<38SDRj!Y2uLn#S&os6ORRm~8&wAnB&R*8 z*crLewh9Go?@%CYt44U$EY7wQu>qnMC@@b1I*3%Dw}n<7`^?!7U-d9Y#PZgf4PJ9K zZxtI32z`IafDW*XIk_!ibvvi)4)Yr@``j#((ITQ-0N`)WFtN|j)$GVIxU31_w-OheSY4T6W)c8*Vu%_6 zaSvBIvOezmmX8K#!6rBOIQt&n$!{Jzd!+wd8;X%%ljIUpP1mTKX6KwXr#fd5PH6`= zv%za^am>6q*(-PlfRRj8kd`JTDg{J`2UM@eeiv6s7WN8q4!;@$?n-%vhWh}y^4 ztdbqG(Q^A_gqrsD20~S-Zjnkm2vc^W6m{Z&7Wy<@hVa-aA<|BCCBT?wsN(Raxz>aZO{xv8q-+KEWo=5{4iDNv?X?BxBAs&G(sK5S z^~cKew?yG-&Lpc_n*i29u4Tmx?f1`^;E0{Ao4nYjN~iNjuH zhPIZ8R(*!XjD_$UAavp7zyh&xp(Avmqc5%Iz$$SI08=uxdIEATHBRic0JH{CT9S*1 zPBB!Xt%gd(esmgE)!AHBS^!|0*k~NO1$!01=}dNg7XZeImP&FF(P~2_V$ z)l*v$$pb7qj%=uI!`WG4b1%FOHB@&Nf4jmu!^T>HYQL?kL+oUU@#+=&a zZvF#O)sk!7vFeih8dYk-Q9ImX9aF9c`!6Zv=IY;&Yg^RmDCN4P_0c=7h?`auj_(I- zhTO7h$+hlCy5v9hK5^VWgj%U+WO&+LxI+yEZKpxCpc_m1|OQBZ2?Nb|RKesxt5F0$lAPsQm2j<%V&O#KDY?zQVSELpZoe02V za4xfr)&hdDV%KG67Xh3pjwGiB+mMacfV)pmblQpN?|ubF_7aGLQV8Jn-NN_Z9+@dc zhGNb|Gc6?_H%g}BA}hEoDZxv2%x8Vj-b#r&qtrtYaNKaz9I6_1`^b@W$tvowoyN{h zRUOxkBk7V=)L}>K?=V7HJK`g*SZXL}W6K%qPBIj{J)?&fGsWguWMy_;6PqvF~P*)|YDl8v&EWJ5Ry>BDFP$89aq zt(}`zzN2zPY8tIpSc+|ms6d}VQPhMBH2??@tpONKrY$ih2+R(HFF9{Ie6jD>)bJ zHjzA?Xon=15M61gMArl2-d6F?*s{x%z72$zTf@M#3~@OC!zBe& zW0JK%lT0!VRBw`O1yh)v3tvS639;HvG+2^$opfE%MtN)+?$iU=d&JKI5OMDUl^iEY zSMsNUB=JiC-gciewqfLTUR6PLnYr!$R-o#}150@WKpOeK57NXe3X!EHW~)6Ph!Vdd zqMfv0v^x9JatnJeut3Z@CA=bU@TJ}d*>2hu#@YKsX9R66XID>w@F+kJCP>S+6K#>? z=|oo>D$#C3CA!s6i8f4UOWA!sE!7COgUDD~99rt2C8J{x=+sFA*vcx&G)sChpcB6Y zK&KGBE6Fp6ir@gHiA%(pcB1K$wAb6NKwR#Y5N#*=sw7V*x({IHN2Z<^Mmy09l02R0 zX8=w8&72x+H8)Ct3$EnoMmo45B@jp*^V8 zV1?CPrs>w(0H%qJUyJEUHGpJdy*fxain#V-Tl(OXdKssS=}JVWb z6=!p5dN96Bls!2r&y{o2x%f`AxT0?Qh^pC1&Mm>&h4eu_fvTucLPAa6ljMeJBU6Bz+OM4K0OZQmjKivE)>x-Xl%NO)@O*9 z8Zzw~_w2Y-L(b`$fg5gd9Y!b{84BSFpgp5$rUW24Scmi~SL}gheO*yu9K)VAhY-u zLuP?XO)|TlEP{4Ng3UBBHBkl;eRJDdn@81(13s})YtN<)*!xk|% zsnh=syNKHF0pK4ICFMKjW9;w#C~XYbSRm>50D4VaAokmdjHC_uNgyo{u8paXWKWtQ z$reEpTbo4?8zT|B3<9!=n*nHm6Ro73T^EDIsGy`qhtpJKvn$CaUI9Q`tN~(QA*nrl z87g{BP-)`p0h~dT?|)`whM7kIkSBT+fEl#R)!iku`V29<-5JF+eRaSl-Y0B(PAWk* z6|jkG0DP`Ue-zC01^@~s>IS$4Q?*QJ^%-K8D**j)@J+t|tC1OI zRsjmU&G;sIk5`#t?gBu6Z{yH}Au+oJ;6&PvS=gfj*t*0w0&JTx^D_WkCwd70*YS=6 z^P%oFLc^DMfL{YRu9JOjz$SiD*o%ms1sK=g8JS_`IRIU66xW%10U)j$60@%W9M?JZ zKMbJj#E${A(V6z7rkzA_g5x<=9|Ta9n62!1PWD3qoA`AA3MP6BU_5_sWQLiy0X%QB z$(jDW2%yPHU?w59ZK&Ljn0*i+MFUTeN-ZRs1b~;?ycRqA9?s0|b{$a(M<563@O2Kw z{-Ma`a5e`i>VFRVY|2p2PEbdj9F}3{MJF5`#~f;?W9K`wy5?Z?ARMj(z!K5Dl3YY| zpP>>lejNLuPKW9k`&2Wsw&1Wx>wmOuLjkK3byfxXXj*61R!OH-qGdUZP_~a^9lKF~ z{#Y1V1GimdD%#b@)_s%xQ9t)Hq56T-hiO)e@^SUd4jLsoQ=pRE8aYd?lT=CPvSvuK zdy0@`$ET8_Mc5F?&9;ku4i8YLa}T3VVSyX%*2)Pmhi%VY&OX~=+x~QXG+Q>yQRxG= zxLr3KTdIt`<)4P5IYYzNOsjLiwy=G#8hu1gj!r{pk*0Z!x{Zy z`yXjvazweaP#nYxV!Mp8;fdX`d$^3S@*7Jutr#P+*ARC{smkS?H^Lrq*7qEPqR$2i zt=sk8u@rLc6r1DAg-$~`!Jge__Hs~Y)D9)b0@d7$BDQl>&3%-Dn^wM4Rj0}R7^tC# zPHWmJjTH|sZseGcB2}iI=hQ3Lw#|(#Z4NCP9iOdY{;(J+X#tj-Km ze`y#*T2>fj+EkG?u`LyHZQDANVAShA8KDu|*3PU?BcVG-kfFt9U8#(c?KWD;KBrC1 zD8~H(OiGtVILN zVT9fs9olG%!*OMQm=}-oEtNh6jvO705N{ zbC;gW^WMWWcSQ8|{BX)WV6Ag^c`j!Uc2&z2F1adou#MEaT&Gg|-*z}hn23(u(rec` ztD0lcp{k(U7m-!bp156!+*)=S9Dp}DB&JpO6eTLVac5Vho#`9lWlzutHf+xy3GZUB z|G(wDPvk6zxePkZ6rE{8=lYn2D&|L2jJ78T7HuiItm&^SR z&s8TBbMLN>HoJxban2swZ-h9*UJW_%<8X6RLmfLjB9o7$hJx*3a(~xnqTTJpL8yL^ zmU27fP(zD$iel;E_PWn~_n|Ywvh38rvcm1T(}jo22+KOimOAV<|3Kv&teg8co{M{+ zn9ZfbHVCg3gudBGjy6&#kjvNtTmfAUfFnegNpcab8rWsRs55H;a}QUJ*JnrVVb3b`hN)^{p#_>F%x%6LM`X(xIT5H(7H+eDy) zNCm>6nFZ<<#qAh?zl$3n`TO)atVty;2 z$#pRK{yQT#<|QhV@Ben>MvwScBR7^1|NBUMxfa+cQ*j#r^&q+u;CtQsJSNyKxwqQw zI^3eob`bsF$M|*@6g7P?+pJLbs7H}u?=)VHHp)44`{3kY@Z{xO$kjNWMx^0aN2X~pqAWG?66(3iaKNX$n|6?W|9;D#)+m& za*-CP_=6N3oq$41Hl&;+KnPL?v|~zKJE6&P)*mGbFu8hE3i+G`edl9 zeG2r+I2281O?K=#)a;(Lt88xZ+|>HZ;ER~4Lc1Ol5DGC21p%%9J1|bbh^_qpPTxjsz-xK zi*j!zMFwMdo5&%nR_>a7_*}Qs`dI0({Pn%k*#VTp)oA-THH(ovqoHmDITFFRH(zG#RvyO&J9J=3qYJ?_j2)Q$*@gFv}Z3O=* zbrzy&Td#w&+p3YaI6!YidhWyMuCTEWev1_9*bX|Bx!&o_oCD~v9EljW?u8y7yzydO zp&2VzY1)ZaNpca<8bc+zz);n`;z$?U0TXhqV^05uT&JJy3=(pk`K8yaki(oCd7+UU zc5@iZ;kj+ULtbAGtQopz>xfmyS$uPny?xM&i*BA;z>6@=hxpMr9yX+pkyCfw7x}Mdk&ku1UE5I9o z`C{T)VEt4h5%+F5Ugb&G&RL;CzzYCzZwh88Qy5=kJP$O!H1tAXzL&NNm>-5LUrbAV zzIm5EKLb1|ABo^Y-MIJToobISUwtm%Ey6DEIpl|;BVSA5c{<2HQw4qQ{;Kh~kU);e z*qM5l+PNQm_WuI`elWlf1^D3r?*lG&>{KHi_fGtV+Ia?i)|nrBVHW9qfVg+dw^i<3 zyfu^M@sk4ZJ)0*3^97r<`4lkY=@nr113!w%=ZAT|a8&apLiIew8aJw^^h3p|z%G%g z#|uBwdhoTTT8|dCGd`yoWaC)#g#rDw0CQaNEtBsC`St+kIhZdW+kiaZNyf7IdBPyC z4CV2aV)KyatH6c^p!YXEUCjV}k9^7+PuYS8$agf+l?wFLWrFEGaeKjibh7?UIy z_Vc+xUgdJ(R|0z;9vn=+;=mdoRPQ0pJMkFxrwIHVWZ-j|LH0S(mj-$DV_wicI-l$a zDt=-hr|qcoTG-+k?~sXmUl^<9^3lc51@_Q59-n($1Q|YYoAGlgAH*8ioe*GcXSSDq zu0SI0t!q}hq(1}T!(K~$=FHqgO#A#0^YO533qC*hT$Inp>XLpLaEkl@BkAqnAADL2 zLV4zs3NXR91^EjCOhj98Z@_0Xp1fA$2YDJdUu6a#?Z+~cwee9Md*r&a#f#;EkkK2ESf;L23$Gw~H zwDkmox0Hbfy;r z+6Lm=U4Tx&BEVw6DuBu@h0M8t-JsnFxD{}(!~ba!p4$kh9f0xys>ddD;n6sreHZ}u z_5|+fRfcKq={3(Z_w<@)`ZOoc{XO^e%4eE;cg^>ODZ{-zfqQ#GH$eU7zMXq>%`?qC zI)Qt10{7U;&n`#l*Fff4zzu*~9r@?5-+mtOBH(9$mjJH;UI)AdQ2j+C&=-K$0Ivhy z1jI*%eEPsWK7o6D0{8an57XS^6S&7Ga4)a+OOPH67z!8$CQI&{1osa;AenW0j~qz0;n9*Zv);1TCD1_MR{RA0_}XbbH( zwj=9E;8~AATLhlzRF7$%?Fc;E5qP#E@N7qT1ic?Ko$3`*DuP<}R6JK6NU^4an{ zr}8Y?mppkqqY`*VCGd<&;2D+hFrY7erE9r-GXdX5z*iCQ^#l6u0p-&c&!VcQSowT9 z`e}f6z#;&jYQ6!We3e)H$3{O`zt{#mixYSjC-5vzFpq1G?km;qpDX5hoM-4GRX^I| z**n`FY34V1x!adKp21a*X`Z16M zihI206_34BJiv?LgA5?HuzR_8%7x24Z|;J5$DgzOq6>SvFIu;5Wp{cx+1))C_gu1J z<)-u+==Ty4qUYuFQi|t)A{O(Lv4;7T_5P)4KbeZhP>wS9^ugqb+y6T-2c-N>Dg3SS z2d0s#tE;P=IisPnqB0&gW_T|5e*($E*ycJV@__EY5{nI8>BaN>HItPt4*d%BO7((A zcmKDs*vK)>O`ZNnQxVB8#A8DntSC?Q{ylNjCgpEV`$cKLC*>ElpoCZ=mH5cSAmJxh z`Nb)}JLM@^6of`p3@L_<;VO7w#WPzyq1XQqfqwS)BY42XS`_Q{~$k>_7|o7NhyC( zO8%xB{1&!9YhUB{XD`GP>4ZO`&0j6_hGxHimk2PMXiNA5Q#QbO1;+nuBG%~Fq$m4J z(td5qKO^OjPfhmENCWw2rTnpofb>0e1ta{6(1VE9^mB_=PFBcxkGp za^8|LQ$%i6!XJR<@0vTaqS8Mn?WaNbBX{NI|I;uof8`u$U=blv=p`cpYt#=SJ7zOD+yIZ*I7r{Fecf+@3W>W=j< zNuASJ>6hG6xy~^3?nVr%nOD(#g%n&2*w_)|fG4$2V0qG|g(5Gi!`LpslQN zOwF9tV<07!?@IW|F8|`TN_f#W@9Yzr{Nk25XQL%A-{dEE`p<9bI<;=5|HGox=!S+) z4&WqQp&RA(V=J^VP>6r~WoC%BBvvn|tf;H3te92jUy$}kpqJZb`Ge5%EwJrhB#C`! zg(tl9EX)M6{2zNQtNqbwzp=$H+ByF$zkiS4gJjzO#-O!^qQ0FC;1O;-+jDavg*tx zzqX~;zr8!v;D2u$0{d%&Op~-EnwDz3A$~E8ZS$GQNb0|PX8nXQRimn^{4e*QtG|C)i{GB|{~|Aa z7Him(uAV3TzzH#d&KQ`gthn-3|EC{IkMX~pml`*9?5MF*{Gn}UP-+SPOrAL(;k0C? zKfDFgQk#F@=2V^k^FnmUjLH5W44B>J_2qR;&Ysxd5A7+hZwfjpotQJa!M}f7i~n(6gX1E>7Gz^}ztAt3xM_>0N8VAafdb^dD`)BX+UuwQLV`MWVS|9WF;{!IVc;#6}x z`eYnNW}~M8 z;pPwA4WAJr!`cw)Ak?$2m|D&bqpX4a^IH7LU6l}R^M|xl)cJ#V`g>7}7t!PQ#L|;7 zrO)t-Z>gLNkpXvitgfi6o8%AN=?^Iw><`1Da?3~1Fr)lot48^QR{6_PepT9EmS%Y3 zKNBhb2i+tv`;>P1Yf~73t?2d3V>_EhjUDTcO8YBQO&_7(7b9{4lx!P2XU<1X^`E?? z#oxE7ZMOdn^ze)>T^xDc*}v|XSm}g{u?@#IV`V$d|HitM|EqY)|7A(b9GQ`MVE=x< zyEiRexoTzi=B4Qk>(1+0by4^FwHGbjaNhEdp0|4Crlma>U9@ibn)B9PIC=H*<>z&; zzwn|9SFSwo{IyGwp3KZ-$*sL``MS+3RxVwC-tskTFI@RynBH{BChz!z@OV}CdFxkR zd{OsDCvWOm-_*E#^Z6^6u4-61uY2tl*7f*P7oM_kDH@pm9yx>aR&H6leC5)Gi}3%{ zrp6N+wr;hB99BE8TYLUeG<{ZkPfa(b8J?{DIRxCFg z+jCZ|Ty@^&bv@@e+uL(C^{iNXVGs7Yh_vMwb+0^U`T8d0aK{Us*0XXw1ke+!&?cZF zCWCB!)7F)Su<5Y}lQ> zH*#6S!-zyooU?kv+KY@G?#X$N2upWtXnB2gS@nKPpB?iDw7&#%y?U3$$~MG?a?e2)QXuxYDxTf z2`0_68mxfN1p;4pvAf8R7jIjF!7Vs%s=$a6ebq22O$9qh-HdIIkTcHf5g66{RkM?JK}F1SC0az zU_yi@tB%W0uxSc%vW%*DRYM`{@6>`RTG~WRSCSzvy5t^f7AAsWqx6NUJN@IzaqV4iC@{ZWJ$aq zHuo=ZD)&D9=QE-IDNK$33oFJESm*kj&=0H0bFTWF^w+Q7)b|2$fax9UgfqSOwVH6< z=)Ia?X^5^SAz|xQE)ZO>%;X-O^jEAuFBf_9dEH#du%<-BmDIiV!qrG)UE!L?RRc+` z8vG`KKQK0AX52T2aDJu09}@FNrpl}QRl9*#JTY^AW#y^yq!HkE4gBL`RpnK6^Jjug zy(?vW&p0~1WmEYF+WP#Njc*J_xfc0nF&x)6F4v(K>>y=K_pDu?gKS9ly6Uss!&QwL zU5Se|t7p|=pslW)AZHh!^I2yTQc*yu`}oSm$Z!ci`ry>4#W%)_jY4y`ZGB? z9oDpeFeCA>3dp^#9D!x<&unn>MCPr>ar_94ZmeSHTMQhmu6-@MTv2;3yx|HN9OFWQ z9P`|)vkuG3=?>-YwU-sF|vWc9ir|YTcMRbn>WB{FQMQhit^2lN<>=D-@JBR&*Zfi2H%{`kMrw+ zxIh)FsxPm^{Dvcj&-0)!DV}(Io_PF8jRj__!LJeezrlTt{xNe%oiui4#rQE}8Y}A? zCQYhttg9#=(|G0V)q44gUpMsc&WjyWIblrYjLM3+Gv$JnPMeSC#fFcnnOwJN{+Nm8 z*qTbrH>cTDVr_Ukh2K*2|06F}Gp3?)=4yBp!`rTL223pAUpkw29RKjFn&1^7=>Jb%Y}kT^W%kwwzxC)}AB#1NuByYu_%Ut%*{S&rYs{zKZ%p~8rDo!W zSpAtZ=YFzo^09TJCN(rRBrfUVW&2}=%ww;RYbvY!32A=; zu8ODq2`MI;$;1s7{EfFHX3APL^UMm|Tc~TCIeGQwd-k3^YDP0pps@t+bl^?pFedHO zl30N2#eTA-ta|JG8UFbAsj&re(Rk+S`Q|!vPvXMWs3E?8C*CDCG&`N(oReSaqsm%pUvr? z=JIk_>+HcSKe?ENlljej==$sj`C7cN%tO!m{|m}#$dzHLx9tGaCL zDBK-N&A;yK@u%|c?WL)z#;OL+rmeGP;-(C4{@}jg=;{eIb(K@f>nkd&g4Kv7{g-30 z`tqvkveU}u<2wLQzc_Vj-K-_E>na+W$|g0|RW=6SBEbEmO{w|*@RYwc?T>2X>|5A2 z3%4C-FD*N*7PD+!RefD$)9Ct2PPz5<^>t0hHPp>qGFRp-ELr@Hv;SZ`Hmt0%thy67 zVdsw>-vQD2xUZGs{jCLKC)736!()NE8CVo~zplG&a%BUFpPXFRJh=|cx(56oBPhsG zgGBtN{^YvG%E{#o^NtXnz0NzsHXa!DZn}(;D-6fA0Tp?_B`n zEUtU;Z}+ohVT>?fzy#Z@CD|BkOIk@b24uZfGM2n62}w2vn|xVGD{1j+SM09*AT$u( zCNN+`<@5pb%0-O!pOTeChHUwcmddNhcvS*!1Zm-lS0Z*D_vo9p9Ph(o*KuO8L( zH>9B1u1Genxe4pT%{!AjcbeA6mT>p0ur1a$tZg7wBbb=Au4##{S&vV=zIki=*=_4L z*SD@kHBgm{-|Kv^x9?-6djS6n2C7e}EN*R&2k%TnKQMl<3u zwZIOl-`o{lff4#V7_(6)Uv2FB9f)LAb@}2xkr(%g*xP5S3W=K4nLQqyIQ@qjRG-T* z$u}0=uS6nem~l~NF(1V^R}a&-e*LD_=u%}v^Ib;wUlG2p{CIfnJ+-Pk0PYmq>*wzg?-m1i3I#jG}4%C z7{Z2Y<1v%qf3ni?T}k&}qLJA8Zh8kJsRL%IQj*?@ozRyDLhf4>@=k;V==cz(EJ4aX zEg|-f>@OfBi1;5Dg}ib}IL+%8g}ixD$om$B{1rlW?%XLn*aYut)cMql%JP}^>%F+C z&f3KI!fmRvCLr9RK>yJ1(VX#35@n%0KkMe1++oMrOHtkJRZZI--xG%AA>X$}JBn{$ zyN}~_hkzdb(`Av!hO^tw#g5&+vl}*Je$wIo`E}x4mvxDA+_fE-U(EemY6(JI=u10l zrdqqy=Hr;`jlXQrht7e2ysMMj%HxBnOC{rUO>0tCF5JtwIqyVS%&4O?{^UWtSkN>b zty3d_=+k>^BeA|Nyc$3sN>`VgjkPoYrHfY|L4|u&ETF$Xd1B-x{n_F?IoUw1(A>)^Ld-%8iK8wpsU#dk=G) z{@@_qb%}Lj4}M4Te!RYbu+Gl{yRo;zI}4wRc@{0FBtx1xMx8gJvk_EBfxQmPXFPu1k6n&t=gyiYZ+} zMjL})jz`E`&4D4j-co<8Yj|U~c_nIEa!@{_7kBs2-I<6DxlK(Y?%S>#3=HF+t9>5D zbit1(g+KRletH647N~gnXrKrNe!CqtT!%6(F8p810LAkjR+b)EIxNWxzBY7V2ycT- zbANpSZ(cMsVSTP=W0!k+4DXROa4&r-+nXImmvG;AUB^(1+jYY*-jQlD-bkKy;C|xd z2)5zk#hdl$LaDCg0m!LoS001l{x}*r7u`GF5Z_M8h~Pz}R#l{X3WXwqcP%bICqYt0 zT5D>CI~z%sxRgD2rAid5Y3V}W@Z#>8X>7raxvQE|?jK*b-@QN5bjbbMD+V!pYm!+Q zw2+9;hnGYeVcre3^|>7#ZeOf%uX&`^)Ns-vr6L$`YqYNYpkNxuJ6 zQ?)g_NnyO?x>mVKDVpA8@m-cB_K2;;nl0bn0x-7^)e>ZbgIIQ3 z+u{vw-3{H?%22<~%?t)KC+4(go{c#+-z?=TgZPfQYX+Z(CFnMGxDQ{~@J`QnfzErwQec4POV7j?TI ze?=1{b@~3kbx(f6-8q<~n4ER*fd>3@SI7Dg_nub_8W!7U`W^cxzZ&Wu{TI zWsKEUA@QWjq-X2SE_Y_Xdlp`8h8e!V{xLl$Y`9YV$YU?`aQ{2-QU6CcB05|Z@pRw3w&~}lr4F9H4+X5fO=@un^7U!i?$YF*|xHxiylyAQ!BD* z?+D`kR}q=E%BzSHY;9##jtx2~cx;&arbq-ThD4KzA6%P{{=5<-x6usxwRQDcJFj|54sn`+~KD2tIlsk+Q$}}%pl1F z$=v@gc)lTc-g@{>Qw9$ybH65WePYaU zjvHOZ-F^b}F7{Q9HSOU3%4T<{$&GjH?Bwpq)~1#{Td`#ZnLk!xo!AAhm&_j##7`Uv zR_m=2_hyOvUq|jVtde{WN!;5c?jJ(=J}GhkRpS1!l8tH z)qp4io^~Vam9ONF#P|G)7h1voRvrJ}L{^l4VyQ#taq=7&ERyK=yJ=E``t){ z-p*{ip`o#B&pF%hd;q)X8n9*1okDWY_g9IA`5fF)VmT_$D3{uzTlm$IZC%^oUcY28 z)!=^Tm*T*efYJOJH*)%BR1hyM!c&)3`_ERKAckK$=rdQN({&0nS(Q$Z1JHO-!B~5aMhJa{I zEq|K%6(^Q9er@B|1^l{@Ul%iWC!f0b)x)nV$~c=SU)vNt*=oC=yOCDBi{IMN+=nx0 zVstYm57A+X<3{kUmH|lcGJc7_RF0og)!__4RR?FooKVK8KlM`xqC3|;ml?2QgFmjU z1T3wFhhZm^yib@+-DxFr_l!T8PA(2ZY zID3P8uFTX`HJhcHaUy8r*EW7#z^@DWbur7`$!c~H?BUlH%D3tsVVo;GuUbn+SC=06 za!NcmiZgQeTTf%=^Q;W*zC4jj0(VNK(j^$nXTlRSW?tLuO~3AN0)c`kNtcu%<1Q zYKV7k3oLN*wh_ROW%!(p^9ZwU zj&-`}7^DKWB2*{NsG4X(U_gKUWliLC-&BKEc0W;LR(iTIu0);dU$o3#^mPAw_jJok zCjXI5v*WxaQ?bo>Otky)NTiMLI{Z%uYlQEFk9DCbj)AEc19t7H=YM9Rd+5Mdw&2Zz zJOHk!W!PR#aCHq6;ZSOPt>YJmx79rM=C=vMBp&Cey*@JN{sO-z;h@T5%-!^% z)(wfx?w%&M^8t5v(|Wk!nm0D{+_NoB(bd){^Sx+9f}yBz(j_$3?4WyIhkHd+OWRu} zwrxJYyNhefx36p7X-+=_xnaYEx^VSiO;>7X*UoO7vKBo}mB4eg-H*7D)li3KYR@ya z-KXIB-dMlR=vJP$?fwf)zTjqo+pt|~>-uv$Tk2a{`b>VYVn4Vf`aiRu=Mu7#q_uf zhjDgr6Usu<0i0@vsp%koUfRWX`x@jM3v{V^_m1mgSKxh*nENKE>ebWksnhPnwAsnS zbNAivL}d#S&pO@Yw#VF$VKdg&LHDgtpkKmz(RZ$Gnt?hKi&lO+YC7H5Vfy+LoCXh* zTW!+dV@=JuU7c6pA&S#f@#EIeh{V~Gr{B=U)t4piG^Zyz2j*z!m*ov;*viUKhS4AE zaPv2G#j%xZ#dJd#7F(uM@hVja6eiWb6PQ?!UODYHPj@8)yGDMBg{+ezZVBs4P0e_Tufd&*;Xu^ny3Td= zadkSFaT?rHYn?+R4Sc^H!pjfb$7``+Zo`9e6ewQ;XM68Cr+EXX{L?Y_B~9{;gEw)g zbd$Tc0}g1@$h(bbEd=nBu;BRd3r8>^v#`c7B?Nd z!o2}*GvFqE_wvZ<_<>aF;FW>kA1tqUdM@Z!rE&*S9`PmdSB$GL?+3I#?&4zgw>#B;TgP=cS^NcbD=im6OGco&QN zV~6MAtMf19n>tu&{`KxlIdt%wm-HBtj zWz=~8sZ>Vtu5|d~g5QA|UG*iP7vB_nQ5iiSWyGVHevg{y-)I4fhjJ*LOGne$TJ8xEU z|6)TZvwE$eqvlea5U(?1wPeNM`K{fOSzjE%>zLf@H~N{+T^ny(*J5REmDH{>$ara! z`(dQU20QpQPKa$gyP*XOY3?^*<==}P)NjL)_183Q+zf;J``0$D-*EQM1{~;s`v_w0 zubig!-1fC|)7j12){D9LVeBdR1dPNFWA6ig*ROAA-P{^q?|$(WLFevW(U5BbyAaQ4 zz{ab_I814^^}M6zuCA`&n%0BS$e9gm* zIi*_hTvGk$<-TQ_Go&cwF*O_*<35jM7+61yWSdj&C#E?@ zNityx7-oJ0xqgCNb&&(^>(3e__8%M%`{fW?)6vsdw@ic|8iBN38Hs?cOzd>Qkw(aSWSEPmE4%wfU{OG z!GGlR!IXO=?kL&a)OL0QUQkUPaNowTr>+|8YH+XNOBC=%;-|}f_38VC5m#Y{;=3`| z{kjv}+VjBa5%;^;-TD6=in;%^3RK^7Xff6QunJT^fQZkp5~|;IC`k32UJ{9+hw%lP zrbMIPZMweZKH)Tx=u5jcoZZsxz7g7F+nw$~-0Bd6+HzOpp(9{*z4`3OndimY9>kjW zcD&)>j^Z|lJK-s9gKxR1EznDUb@sCqI;sl2=UEH=)Y`~uay!c}>SXoR=`M6&9vqOK z@2}-0PwGAuX&}{qWO+*#Vb?IU6}G2ac%Y@x{XRS~T6f-C1`|*2kd?1D!5I7|oG-g# zmv#+bir33JP)9rl1nPgULK?$ zT#4f~wP4&-f1|OMfSCSGLnP7w$B6&-o%HkfHDE9Q`bHcJQPJgB6)S)<=3UPt&hV$3Ee{vm`Vo%4i$SVDJ z-k@mD)qRrYVH22p6`?E`_Fipq5FRtB4@7E>+@tByNz6sAU6pt9jqBTr&&eQ zu~s!2cP(I^W~`W0TXR5&p5*qP6XEl0g>}$Xzb=kVJppcFSKo0H@Lomte=R$H0%5@a zI`LT&;2k&Yf?Q#U;9mF?UKzbINXR!%iNrRd#~+Aq!)_&PjTi43w)iZMt!X@GPdAQO zb4!C*h}zQ91E#E{;z##by8(52=sF)4?|O8=ISn3K@Hb~h%sU99fIklE-i>XrZIW%r~B7SBC!q1+8C@!4bfh*rQ~}{B6d88 zq%~9B{(J4xNUZgJI7k(|6o)!q;uMVT!Pupjn$5@}e4kqiw2RV)vBOjd<-4l}`Dh_E z`gTTp>V0Ay#p7Aax3+jcEfZ|C{{3zM;_ibX_FYrW@H5D6H`eX`hsZ z<@dt}xagp;7rjIsp=L#E;D=tOxJh8m$W9M8I6&7nv92H_zu46_q z;~`#r7TZhENlF1bc{KcKK2GV*x13aMZu+j1%sR?|E?`e{q-XzxQ3Xazy&? zaTxcPe|}#p;m0|A>lu%J_lYvw$E-md9o&7*DL4!;VU#O9L#|CK;e>GStY{(ogqZf&6w%dZ|`bpJHNR-JV4xtd(|<0I=hVu zmp+wt>RIhI5&bGNchR{DY@mx_X|q za^OHR)ye&t^Gz??ve7+je^9F53q19~{2!7d{e0HAojByIufw!b3tr@puRmv}?}At4 ztiZh#>(8M%5AJNF{D^b-+t}mz26f1yQfeJ~+bzW#JCf7{qN%2yJ(xMH2lfkTvL4#% zs{D?cp18C_bC-K=%)Pv+9iC*I9@ET@CndgpX;bpRo|eW#ZHEKH)Jk_6F z+SItFYo{4ygz$S#iPWF7etmtgI`?7|CuVkyJK-_LM$pDqYzB3&=x{q@EPP`phKgpq z>bnL5Pk%?My>rN2hcjXP6A=XS7fxXV#ap&PSa!yP_}~2uac?+L$z4}6h2;jUvaadC zHZHVe7gk*ZI|P0%SQ@&5xWB6N?@T=_f;&zniD3ly)kV$(H`P*?Xo8Bir2bP~uhT7K zn{lwG{?+r&`Q_)G^M`dxzX)X^YAb3?bgbwQ(HosE)|<}=5`QvLSJ^Ji zJsGdk|2hWq-HPG7>CpH27Z-(LNj zK)wYW?UI>xvibRia>*H(j(a_m*Oy689`;g& zsf;t>l`^>z)Sx$k%&APeq;f3G#l5*}kbXLw$xU6BNgwK2D9@@q#qu$4GGF$H{Fql* zDC10-{7g)~7V@R+Og=Le%jU}lQ>!=Y^dBwnbw&of$y|DF9!QT)mA$-kjW<~?=0M13 z=4wgZGf~>+Od&X59xvugeVOv^taCUsNi#k-UKlBqynX510^4Q58<6sk2_0ygQhCH8 zgyrjcqZZhSJ66u-azGfa6AD;B;`nxN+$l<9P9664_k^!J1d7bOlV6z26w~EG(J6bG zVzDoC^+G!5?9FG(eVJVPSi9FZHr_Md?`0Oi*||cwKc8m9We#WZlU@m#SkWH++pB+-IF)-Q4?Ce&_vVBX3yPdeNenqtEGg!vGR2up z96=M_*utEbL)}4Ch2B<8FDgC>)~4d#czPn2akAcA`l!hkM?Tb{G@r>#B`DH{_yzDx z2tSk#GgSmdh@0@TQxzdX5e99rnUe(}oW+K#IGVwIYLcs57|P6~*@+yhk9sOW8FJO4 zB?`TDEJIdEz2n|QyEAp9P(0-9yP7p)^G;9959FsZN1eXR1bWM4M)xCc0FCM3;vIBW zbabM)1lN(adedydpadhf@3IOvw;68w@(?#6A_Mcz)I|qpvgL!b1?Z89Lb^D$bvj#| zJCZJDw$7#}4{hBwoN!9BxJWa$xF1O$W?P_J=jX>~D!qI{(VP|Gg$fE)Xc}ZnHwAmp z{^0PwDc!yc9^@9fz(FS#H5IHMn$DRh6mpq#9-RXlP18BMzDC*ujgb!b^6b@%x>6P_ z&ZcwOYp8#wvgsM!O`!F%4$i!qjC-LAN1bwd#v2_^1&TW4w@#b?)Gn1hW^^c^1+SDY zr?RRk(F@{ANlXHCV|J zOTjD>0o6mMbA@!-$x0U(n@m@_dtdf&b}AE(2fL*328>IkkG4YS{DFYI2kQKCi3dZs zReFHYC*DMruxT{5kAy8Q-BS-u(^a*o<9CC}*>tgj)ATD0A&dH&H}70Bv^SQB$76Ed zeXO-5enHEHE$y*Q#SBEblsPYUpl3AIoSB=*Oig8`wqCGxqL|K4&c=$F!&wgRvDR(d zT3gz$jBTAO&o0bO;CFIZ*X~WJVcj>p2YaTzJ4f$zC<#cKg2E@($>yG&(u{5DA*SDEa|&p<``l$hv@ z8AkPBzIPs?Dw2TuUPHSmLDMocmgGH({DfzvMu1n!<|~TGFUs#G)5j#!Sh~CbyGur7 zW@)3zTFED97}i@QEMbL}=RGklMTJvsPhrIIOEsf4nqS!lGy_n+8bUB|vd7HLEtFH~ zc_J-~u~fv%%!gG6#K=(rTB~(fFI_cs(vc1ZeHJi&jlI1&rX6NO?X!4M~rNR7sw-m%e9;DKBUBCn9gOK91$moCn5n7Ld9 zmoX7@yd#t4!W`xgWsY-_XEZxATXsCJJcn5Y%HmW2w|`75OglW}8NVpl%S}v|IP`;* zv|vqnCZp1HL?OmThRNq9R0((}<}x(pS>D2t@$BTGT}8|rXxwuW;!V%PhGk};fx5%f zB&_VLNjy@_796iPJA-IvB3<5B$ib=r9i;cjRQi}RK9KS_(d&be>!F80`G7C|qbkWP zW}!}R-#EbOd!x!v>#AqA8+VPbFOwxkv zFVg81i8q63%M7L%$5^lQl*3tuw~*7nMHW26X_fHe7KW<9cv6~FlL;y7a1OW+%hXN5C=-kUd%z^k9`LKu zz(UEKPy#XXIK+}1;ipcosyj8A(@J(~vgqWzGT4OHEyqn0V%l42?OmLCs%jr0Nk=AU zH6%=!%1+TZb;eSOQGH&5^Rsm3)fteDUP6^Ofhf7dm8g_Zvvy7 zrl^>U>xAQIYQ)V^q;TRnSbJnrDsSpOorS7ZNR?D$stmh(0UeF{Q~70MLyt z2Q?rKe#ywdus2?q!O$=QG3-nAj5-73=)*^Q7p8>)UJ2;f_eBv+D%1-CywzsFHPg2_{HmVwHy`Y4yScG_a zdz0{cpuuy8ltKe*rH68G_43)mM9DbA=F9ZOy&v@Dn&bto-)}U_Y%mJ&*b9X!04sB1p)jL5H!(-Gnq1Uenv(Pa2=gH z$f~~nT|Ik~X#Y|ff_TWwr6FMGVN_Uw4puC-YG#6h>AVaD&>|UV(Scp)CLpDvHK+4s zFbdUI909k4(^3i50;21H2pDo%6lv6)8gO9n&u1pHm?un`F(8|thTfLJ0)%jScr*?C z@J67Aqt?PEHsG$-4HR^^@^R2=njno$qRVB&MH<#{(s_dDXLVqba{l#KI8IGyU zTpz5Jo*Y!j9E;gI#?~-3B62A!$luZap1#W+X$HGpAl>ZW!WJmJ{ys%rn?uH^G7VRl zMzy=mVrLH2(N191%SXcvp^6=V_YqS_)yJfPZP8Kv7P=07Ayi2J=;-h$T-n8BCO=c2 zbuKFur}SeerL;Jj8{A=Qp#`#K4AUZE&m?y+YWj!wj_ZaFGM0uSE!q++!^99xn*`N) zsAPj-_mN$>!jVK7?n|ZYDL-0zF=^G(a9nX!XoooLpcODF5{cIWg3PLah00c4gl*L` z0l%0p!O}5ok!HSu)`ZpB*gcX1W8?ip&Je7J*#+3Wqcnb)RNS@l!>aS)?nZ=hzW9!SclSS%3fasJ&{pDvZAm7qn|K^1Kxq!PDU0TK639rmR<^KEQo3c9{ZNnx z>v%~f4_{l3%0+~Fs4!M8X0T-D_ed#_9U#@8QY;(ea)@6+E(UsI$sRa&`mvN#R!UII z4u*0cQH4QDivVt=`Ia|^n@kR6ZNTF+o3|sWISW$m@b-?V#PsOPf=m*orG8X;d+hQt znUcX5IGvrLCwKv+!%!&{RaT5ntpUq1uZM<2?vV7?(L{yWJ$;F|rwL^EaA}fhz@CLk zHJ0g6UEJ=m0mW)eQWD8M$|H#^dNG9^ zmtEF(i9%39Z%F6HGI^}A$>J>qdWVmNwDu3FCYJdKM>5WTCg-N&PXGS#f%rDez!fej ze|+>3FEO0*$&;oO^1w!a?yyb84^0$@r~9&{NwlL`<0ewg<^G|bUbrRfjO{8TRoT$K z0gStuG94^5N-ilD7UpTc&eKJVDF7V;af{PJuF7wt)Xfb-_0w*wknN%iSfw2t-UTt? zcdOJ`*VgJRQ<)j8qlm+NjP3RVb>^O_$xyd~>zy8EG%w$j;S^Ji<6tK1s1<`z(rlzQX{vUZsF*NqZ-?zm z-k@peV4*xqXG3d;Mx24xQfsL2y)?irNdU{CFD``~;0q3NE~Q%P5Jjvk1LmqG)|ll@2yx zncTG6#6r1T$kW@%-&SWXdlbz(nRf>Js5AS}Rq%x_*$4MD#tboo(9XIEM=r*+T zz#LaGP(dyK96}8ObQAPqomGUmqKfsP%>)&D0BE!<3df8E)w@u#6bc&&(cV}teVF^a zU^d_3nl23HqPhRwP)Z3#{6Y6or5YIP7I9{U}11-u2m3f zoWc;6r5q~EW*VS#>-}53aLgZ4{ghoFVo2WVw9IHkaX8@@A(nv6e%3)5#;Pcj#}`7Z zyHhwvFBQj565DrjhEUb%n3B3f)Y5Qb7y6oSyoz%~B1oEFD^rNnz^9F!j}|Bq(tOqk z2jJ#ZwPXb71%FgZ$&T$PQ<(N<9L}WSZ^=#$%hnX9XBXYh+6k+wsA4o19kx%aQwm_w zB#(6W*yBy zk4|F;!wJU`fp&v38ho6VwPG?1r3UQ>h9Tf9ZGcJRC?F#=){= zsCjGmTI<$>AR58-s;A+P@DZCwh_ty&@|#degamdcSO6?#1PgGo{`1Dty6KfU| z$NbK)s7zCjfxZO13VNm6Ig&OEfxmH~6re;gw?Z{s(WZpUMlos`sb(hO$SBsf=Q6_6 z-{ZLx2Yq?uu2#sTW;tbBKlX{#2s>3JtoHPIpBNuas=?5ooU*Y!oz{85sz7Iqt_GFA zjGh>ThNmyXVmUnHW+6dbKt8jXR5YeHpqykJUi|>Z_F$G!n1L&RLxJ70m8{4kJY$Fv zuglVKQB4(QhOk8qwggrL|_@2gQuWR^~mB3 zcLU3ArP)GGx!ZJUD%PN)JUH9|3_+8{%Y_Q10q)Wufi+t}$tj>?I4Q*w&15ky=q8J; zrbcKo${gB(2})NZg(g%us4Hp0Or`zYL9ND|ix;1C)zp-PRZ|8tcLSltfwU-1HC%eR`Fj=jJUR;7I zvG+8^7CPx7eqwa?$;IY{^K+b@<5X0Q~naIlGQiA)!YbJ@Z{Y@9oU34Q4x zwsOs7xCeZzXr;-8iOjPe=F7=zK!=&T>Xj-3X9DCxJai9NF}{K9OHQSdmTyPt0>7fh zP^_f&@jwu?BM9xIxG0|diqfEHUNzes? zr;c<$05;BZ&^05lY6V9K?axm_+Zjyo|HCq=;7MTjPtl^TS^>VJm666w^+zE;hq4+m z=A+%_@%oWp|#4t_7PH z54SUN*=S(qtt-pg!~?ZK6|s(^nP#!YcDb5hRN0p@6Q*XMWQOoY*q4T0hMV1HxaN&^ z?9cB?!u`Ol$)#hZN&0T5xvSgYr02xa!pa>|S+T~OdUyv@Wk;pKWLY(bOku=g!D>?l zii9o1CY0@NsJX7?3uiilI$r3aOh>xipyPTM_yQ1@a%BR;I#-F|3YLk28?!Q#kjY&f zgJ7%nArI#>;v!Uj5R_rHoas{91gvNomI$=Q-j^)U{>q6hks7OV(`Xm0rc-|0qr0kNW?X*rbfyPDhhHRg`o#L5;VhDD1#fv63qZ%?7G~B<>xm@ z5vq(&F{i|%>sJk=_|JwI`fIfsK>=!_%#w7KWcp{)BFryWrx8DvW{{Q4QcO%3ocO_H zpPZc2^Grsg?y8=)vCaq*=U2#}H%;!hAFJiF+oUr5Fs_3NJ9KMQ0u&=zUL{P?<4e#B zHjKiXjt*0yL#qr3{GyhVtv`#oQ}LD z;rj72bQ)onk4_|{RRo+40J$)((Z+r;`kginf-1yKCN*L9=|a$ z?H0=P4m+Yl`v!Syt8Sk)K@Fty$);K9DU0gj)eS8zake5n)MJqDdMu-VeAHr@DFa+dr04M>VP=s$3BwefGN`utT9>>Ft#@>?v`o~VmYJSwuWS_cn9KAQJ*M-Gc>TE7NQPJZb=qO zL$z^S?)wEW6>A~dK-JsVxFS$Euwx;EZ5YK#QI6Ptc6_N0Yc`4sadLavVn(Pc4=0M5 zY3XG?O;Ven5`ok#s*57sbY#N{yJ@6SYP}G$0KZg4i>boHMQYEO;)A8SC8Ji1j2uqb z3b&#oolF}4PLt*Q#?Yv-4V_cfyIFw(DrwN7h+uaOO zXL>s|96PIW>KmWF)K+|OMMEHi2F6E^TGch!(Ga5kjyEFtZ6^{+-9%&{qTKUL#S58zCJEOOG?oXxx61x{>X=o7YL_o=r<2-wp63gkk=Spi+yV0nB=s2Ct6VmxT&JRw0Y!$BGP&Y$(cVv*T99 zA1~M&6N#fkmW_8D5`x_Hc^~RLrqM&e7QIG09lw}R-cXZL1}u3|`sjFE)zT+hw$!Ur z_S;hMPm13J?_c_nf~k^TzdI9Hpe5y!V*DuT6?~`AkFzW$NsFeCGKTXNRVa%*INfX# z@76)v^yB5?)IvF<{1$;N8sU^Y*UV4qmnj8^ubQud_`xW8B#ouPN{HY5ej3SYUKGmk zB}94ERI-E$_XlgK*XYpzZ%kdsk1CGZgO=i%ep5vnnUfu5WGY-rtca=6;Za@BPbt|#HY$o=&BfCJ|;{55f9%T5gP)>!B{dZn1x&9pP_&JnHn=VN=O#XxW!HI0y?$z zN#?A-#dOsPG<{YNpj62=Cr9sZEMqrH_|@8g6xsZ#h_5de;}x;^9atv6*=d*9hJaCM z(P5W7=&ENd*4os1Vbiv_b4em`QEU?f$8l;~DTX}|v7X{wtY4pW74tQ2DR#kDo{P2h z>h`U3>8lFG^M32YvK(BA;QSh&h!efGZpBFg^Ds_+UV=Zyn-Aet8hAA7)NaRfPhIUz z(K{l3x_?3V83;G|R=7@W%!2XgEV1A%h+l2NjIYJt8b09DhxjM)+f%pdrlmJ8xy8Me zrB(1V4bu|`KdY8FwHM*Hr*7pIY_cqeI1!4T$iTDSRxwo$IrW0-MqWKW_ev>?}-L~_o+^8EAkPx+jJA)FM?@h#LuEaiMp1>_@X_)H7>a9 zyu`IK>NsCN!>Q$SOv6tDp2u^bU6OSz>AF?Y7RFBjV?g zmY)&)kr%q%uB=h3&w&#}JptbE0mAqOzxMwph19WS#M?tDV|<#P?}gH~A3cjN-31B%7wpapW_! z+OkNTA3z-OuwI0}2zblVgP0^vcRpI&*e)|%~ zc?fwxw#Yo;zX-t}2*Do;!H6B~6hofXP`5{v80a(>2gwyN>kqHhszC>xEns5jD@ zzDKy#f^E8(ejM@bh+m0k!ghQNa2fIZ(8jRJ9#pJRy2PaU0MhhU#&?WdYM3Ac!?+1Q z3EX`sn>w>v{0|WSGK+S?{IG6pUjxVRY~+CaV)zvD?UwM$7-#Z4fH<~0WP-Xu%4VJ? z5zhRih49mW`D|c3%Co^kn0Qcj01v~N$I$X)U7p3i08YbuQ=c#lQUdA2G=(pElrvsr0=N<^b_HMiKjX< zW#UoFAiqsK^Xoo8NjoH*mThR#l1#e|O}LRW1An)I^BM86uNc{i;hFi@fG@w0aNmw9 zF0WgOS}Qp*WsCs!?HxSZbYoas1ji7@ysMj)-DlZpyA6I**V~ZhF{DpuU1-7)#J0%QoSZDH9&E((e!+S@ImcL@4&QHu zQszK-XB4WFpn9lcWZlbDt6sHF4fREfH7RU`7i;97@g8;*rw(?3o6r^5X+`no`U z-WqpmZMwt!Cj0%=xE9Baiq2hY|RA#^eBHpDTV$$KAQGcGWmAL26ck05@F z1v8#{&y+>@W%z@9nR$z!mvLd-zX|+wh*qaf={&Y8X^jG=&bD=mEssq1nUhf$q`O|F zlexMHN04)^6;9fio}YvG3&;gvJN}v~@#LAwPoCN3^r5YdOmDK%aXyME%i{UykeGd9 zQyQGtLxA)==axt`v0UbcJts!LuP2FidAm@CDbJ>B2;nwt^t&koQ^lD3Lni%8PIbW__ZV?W_}z#Jz`{562t#;lvcdp@2~w@WFm zCLJDqd&Q)qj2=%m827ZM^NW(MBEPHy<8DIPt1&lBU<|eV6IU&-TP?OK<-y=c;@KZh zdg?YE#Qd4GnRq^j;h2uUXNOZ;#JUTx@%Txe?KlngH_G_|ooET84^Lmq`;%BqQ{FV6T)>~yqUY`7@|8y}*Fo>Q*&TxO zA(;J}ecA9YCbGV`FPr#q`iGD=Y^NI;MU+E7DqI->-=4u$Ew3#jOOV%w*_UnHk1E{$ z_-@A)MJM@vHh^cPg{KUe>IQ7vp*EgyoJ|kMG8+!dBFnM$lBxHzwJr6X?XR%&twNlE zpDCT)rX1rnzUWQMIU7oxXybV%I-g5AGgceAewXk=XrDKr&NdzGim$%SWXi1;p6qmL ztAzuFV~5*q&UUrs!RF=bC2sMYhBRD{vT}&8rppnmZMRBaFlBxh`5qIzi{qGYO2zqd zZqu_IZ5!@?F{C#<`Ef8WOgi}aFzsBW(>&Ov>~5p8P52WCxA|k_{1*skzclIasOzP4 zz8y|p7`!Gw(}(ll{S4_0kC^Y9lCFB64&$WEJeRVktaLW*90yH)JDlTTwQ%b5YT-71 zyDpDO`bu5wGOn_4k#Dw5!E`b)>JGLW(@8jfsb3l`_&s>G=0RMExKx`gqQC5XK7Qn} z$5K0-@@Lo8^!E7)!;?_ampAxPI%HP+u%Wv z^9n26rs?enw|FS>Z)hr7c}zQ81e%s0+`xDgx&Lipn^s#!!+7mO`}JTl>C+%&KmXYBsL@;9ABtjcE{ z!!O|{Q9k{SSdX}|Hn`d)_K(S<`f*Ud8=Sn1oHVdbOwYT>58~P8t-+&YSm{K{kqM`) z*kg+wcUZ;wdft?aV4p_@&qhhB@UuUf@b}|6w5AxS-$$y%+vRj3-iC(&+ccH|+w{i( zo3ii3b6;hh_?WF@Od86Zjc)=lWarTGI!An=hPMb7+tJo12FJ?~S1r8#IN=>uxLr59 zEs;d#eP~PHmv71or(2G+&n4XsE1g|0wzJ(|e%o@`M&O6gy#>z(U$@A+uSb*qa!ho< zf3)ce_dA0pTz~4qYW&}XaKjfHuO0qO{Ab^*hL^Yu-e=0c|2ND}UfKAb4Q>gg55vS| zpR&Zm~)u7d``XGKJ|zW^qup{t-*`0L^yKaG0~tT1lzVZF%gI5DPkW!H=2ymhI8 zv2cjbJu3_>XeEqau5*I_tYAuZ<>NYBov3_VshtgLr@9Vuow`aSo?J&eE&X^(Smon7 z+R>$hT<28H5f!k+`Qfk6#H07K+8?TrAO4!Eo!1d7IO^2n;iv^uFV0(V8{pSi@CATx zvET$?URjJEe4-BV+wi4;@3Z2^nM{93pNHoU=y>ealKiGUiI4jJB;CGIz{J<6VaDGE znC+z`VVT66_Qlo9&L1P*z!AWtuf@tweI5_t@3!JUjrg|%KXtC-DEynW{Lmu#GC~a; z0ZjUg{4o7bm`#6JUzVS=@Y6SDJ|_O46;FJ3Tkwfh(!bS;KO6D)17@E${Cd!e7yeoF z6K+}J#PEYpjni5M{|Bu6=T(V+%!((zA6W2DRhJa(rhY%O;>nL+STNeg|Cscsh%aBn z|BwY=TqXUZRy^@@@18=cekJzQF&XhO{xufN_^((n_jEm~`*TG1H^NAUkLrIP z3&9@`!H-#R2KWe5^Ba6mSn(|X(;@gXA^5W)_{k9bHzD|o7JN0z{}N#Q_~jD@h>xnz zmqYOX2*F>m;FkjtVRkE1pRZf-tj|*+_$D8&5&d+F1>XvMgcUv&f14Hm$A~9v$G^&o ze>36<+wrfl;@^dM!gl-}R{X<=Cv3-~Kg3WCd}^GJBA&1v|9UI_Q-~*Q;=cgce2o6! z9#0jf#*c4Wu=FnrCjWM0-=s;;c<%Q!FypTc!Qb@hS>}8V9`LCcO_@Fw=97rimxaJ(>n)dQ5nH znDKX8Fyp_zwh~Wxe@&%6KSF&7+x_jJ75`Jj6Sm`DX2oMHa0r|DGtsb9fD!ETk1#-d zRR5W_;Pa54u#yKAKWoJ|BZ9CUf0Y$~5h4iN@i{Ag01<@k_`DTAjtIhb{F8%*U#|4O zFIw;<6Y7tVSMGgPL8|>9v0%0b_q5vaPsJ)QaFx&5&4Z(OgzXJK+ zZo!AD(96B5raa>3UR4|BUR4|BUR4|BUR4|BUR4`@Gz4?6svXb0ss_HM3Vqz4YT{Yn zRU3lv8~pxQhcxLSJd@ig&F z|40a)xB3s`%NAS>ANPS8eBZA^ANP8ic;ee)!LSAWkLhpR?`hJLpWN?h;A-V_zo&`+ zRTX^PlWF2v{{9er)T-Z7Oi>9NeRrCgvPyPCANOz?e58+iGYw4q_gOIGxj)m6|BMAQ zp8GWI_@^wG@!Yp*$N#{B8PENgcKj;r&9mYAtp3zcr9Rx_XwnlO_x2f>^;v;^b_QlV z_vRUx@z+~0iG1#PJ3uZj` zW0`ou+>d48OqKd_AC`$Hz1Ldsu`21g-^fnC8~bq#%<{N*$cDLh$cDLh$iR10!O#6Y zCSKa>f(lIhwL2;>Hh$5(n|lB70>kCi(|@jp$I<(_?&bR}9oB3O%y_Q(+A!C84NQDLvtXv@ny(#y zwgoeOjRmjaK%hTH|2tTFQ9)6s4e=4c^hc5Z%+K{Z6HoY}5X`kCJN{J`ysJuiTYfVuW#+Pk*563_Cuu4B>@=DLo7ud0II`1^>F=^wMwf4xfjN33|Jf5L)?d>1ag zaX=muEJ|*JeZl$j zsesP|Y{y>&_$=59CjB5_(no}+06*rQ4(D4GBf@FG-$Q?XOyhew;E!QG!2HDj8o*zw zSMTu={u97#?-9uRDUg@90RHMa^$sE9-wXI8j9=I5{EqUPwZ`iteS25i=6R)TQ8Z)KOi4e%Q=9+!3c6kz)Q z41Yfde$s!f+PlWtkNC9a2jyuBF#T&L{ndaYXg?!Aw*Y=Q_<v0@!+_ta<$WdE`>y~$g7n?M$M*j?;9mgdS{LCb0N-cv`wM_y3wpT! zn*8{mfY)Pw$bF)Op9V~SfuhHCegt^Sawo=h6yp0C;H~;yL#AJfB`KSKXN2JM0Moz8 z`ZIqU;GckBjeyCooq#W0qTU}Tz9GPz9~=I@6fpa@;%AMM1>AFLMLuo<{9&uT{}`}M z&pQBr3gcb7uHT0M+x&Y1a0cyRL)tUcdz;CeHzZdW);cv@pe0u>$pbx&H;g3QD{+|JJJTvlAgC)pMV!oWz^qm6uO02i+)^G!0n;#v3@5lUQzmC5WF#Xx4|4aey z2fw*zg7jYn_=9>pBs>ooW1gn3#(6nl8~x5=&$!dzCa%2xz;%rO8*+bzXX5SzQq3? zz>iq?KMeSC$m1p*{{-M;&=(JB_zQsT^1loCJm_!JUjGc(=I^hWAMu7?%djME=RY$9 zZw$emfI+5wI3A<`FF+s6f?=e88t_S2Pm3W1;iG`LKFloCpSJ;CQtQO#0h9l)2Tc2e z<3HQyKEQZCR{ZIVe+OWjzK;OD9rORkH2%*3jzpc>M>YHu;Mbvl^SlX`{}aG_SF864 z2>%N3O~B9bk@z`Ko}%B$VEh`uzeIm%)c7t0e78k!AK;&&Jfp8Yz+4|P{WA;rtZucx zj`;on@SCA8OnbZz@CQMUf!8DBR`6@JF7Hi=R1J=QQngp{#U>sLw&Yu_*85`u<_Re{<$TeeSkk` z>HB@$vHd{D$u9ecbRiF;1ujL_Fu-|0QjR8zB>TZo`yI9 zUybuefKP!wGxfg@@LII5;r}}U+w^_{@B;Kfx5ocZq4=MM;8Q^u*WZl3BcWdcJxQH^ zGvIGwy=Y#;4M_M&$TL8pe+S}E0!;o=9}NJu>6rw4A^K~#PJamS2f;k;dE}>jG5>!DY}*fC4W<7U zV6JZ(dH!d>r!P@w(Xc%Clwkc%1N>^pyOGxm0lyOMn?rd_zX$NQApb_bX8@mt{yVSZ zi-2u@-2&Ko)ac6}{`!tk{F?w*?1viX&j904jaWCbQU2Zy_)bfoe;V*FVSkXHr045^ zyFjmL-+u)>ZslKcYLK2Y0N)Jzgzt|r|0clrNc*4SM4WAaKMZ^L2O9q{V6IOadAbs? zUBCGdd^=!UK3)&_V$f&keH-BSfquik4+4J5l8=u7w( zmS7*go&OBLcU%113iu5c+y&T${YnOqANv4f&wxLFyAtqHeNF}AX8@PbA5H&wIbizx zjeP$Xz-`bM^%~zB0iTTibWp?Z0&LUw5x`tOF!?_ZxY5!tUkj!G0pJwuuRe{B8~N|C z>az(j_X8MtcdDIrRd9L>h4N@m%4sa)PPoE+qA-7q_wdN+X$-(QytylI-TXwUgkzzn zvpAkI<1xZ%oWl8exIATHdK#z6dtU!;Zx=B2d%gXa;M_D1K~n{9CRdoi<#AKE7o_B+ z7kF2Sx{+q8X~*_$yvDprB9G;G>0&W`%)_1G#bdaS0{4u0Qwwu*$DG0hFFIrP3z6N5 z!8Lr@av&RSdiT<}nyi@19 z&P2MruaM*MQd@wxMdy}yk*loo)#Ahf<7E}DA#bSZ$+X6RIY=zt~d`}6kXEy=~gax z6ZYZ)5}eBzxJFf77mkKmm;n7HTnv^kQ;PEGd|~V1>FTh@W?|0RqR9zpu5dVGa?YVy z3zKZ1(nRqPf9H4zwTAICn@P_@qNbYK<1M(=*j((YFVYOa`c}$CVd_NNV6cC2Rxnsy zR}>7>SM>w~i*m2bqOfX3;Y?lBgQu(x<3jn$@r5eHJ_22Z*hgnGr0NO!!IJ%Bdzn6T zmTNGjJ~~j2GOUm?c~P_68`v!O1~yyw1~!{}1Dne@NpEsCoy~8ZnVd`)=kkSoCX=4X zHcw>p%}q_s=(x>@X-3pm#%-0z3z}M+;?3n*T#nX!_=2r-%}_vD^y?S4MA0O3^El)j zEs>Q64|n~hosgc8KqS$c15da$FRv6(K*3E>ja4+BC~5=+v>DUP7c+~(tN@cQm`sI^ zj^jR5Ts|{r-}-|)E!khZ1-b9qD`l_ActyD>s?|=$lOcIsr8%s#XQWq-URU=#70W4H z*@}zhnT!&HlYB!rt@LFk7G_d~ynk17YCjRi)ft_|@`yr!P`SZs09snG;jBrO1Tn-c z5gu+_ojl}uT77%P4DPZjWe;aOoZhZ4VdYgwIDNHp6%%sfBz>Iu9lUJXzuC}SEyy}p znf0wy>Ee{S)ZSbq9lFxo^BI{ZZH|}9=6M=7$Q%Pt{c8$Mc9tSFl{-mz3t6R7#mROY zP&-wa)72T-*Jo3$6`q5}5|OhA-i_;*!<=gfp*-Wl)NiTNQ@d&9LnP;?xHO_v)NXp_ey3w(X!oQS8$*eS0MH8 zvx6W`Ne?%&stdQ}bb4oGz?;maaSP~l_UKgE%j+w{{mZ`fb<)C+uohqs#SlwD*jwVb zpT>Rmepirwu&BFH+HpiZWC%x&dmfm;>vu7{dzDJ_Y5jP5CEV{zRC+`ve|S+JN1{>BPE;b37bP>s zr`FN)I1(Rel+MGWhe2l2(y|Wp4YY*fP`k|#O)t(u_peb6vQyc56xSlUKZ&snJ!da& zoF5PLD_wR)={r==Rugy`5qww>9;MhT9t}`;ft?oT@n$LlMbQaeK#}MfihHB`1L9HS ztzV_`2wLTG-73Bk(!)Ynvnny*HK!s}(sK%8el zwkY!=ZeYMg6_p0G)Ilpn2SaG7kjo?sGibI_X?~$xf@s_AwcX~3G7@#ifnwui1UK4o zbOVinmY}w`_>Sl}uG_rCOAKSYsf-q)(s$E(6pe0|9AGQ1#`AC&xL0ax^`>z(IEc(l z2ReMQ+;^E$kUhJDBmZnr8&c6p??dm5UeyRtn}i1e99}ux1)mizp!IrNB#ob4em&n^tC3~ zxaf`obwRAU*%6#|ikM#|vp85jQ;ctS<_kwK%bcEelx+Zw$WBCFTSjOL=w#GG)g~B0kVF#!twO;0MCO-+1p)muBH!PLu$*UK#MO!LUnYmOt4;}8S zZ%8<&Ts+jAv#!eMqZBpxAoh?{W^7-=&j%Y@4k0&|LlIXH$n?w02E;m0bqWD79{=(t zVOXFK?j7s(k|Um00RfpZqqS;VrQL;4-P^_{3%FyMg^Gc126%ri>U*nrDEiI5JDJ|?B|w+YXnQ_gGxmd75ZrQ^b|c2YOK%E zM3;eR5eujdDi@T_c=OZweQ>bAVIz%%XmBWe!>6(UN77~REl(W}GiubXsjVUdMV55a z33Akf$knAbG)5_P_88hQ!{!n(0O%JNUt|N#@9|_k|{f9I-4s)J}I8i ze#|AEO!}W1F$3K6QOGcs!v({lYN!Z3G;6QAmcg#Pw)xzP0v@x zTwPHyz@W%`9H*&tY_6Q1z;C&zerL@S?n3Ymi;y@?VyQP33nIWxSz2P^x^tQ=`=&|7 z(yD2~gcBF|i7!U=hNhm;R1-w0n4Q2J4k@NMV}u7yKZ$!@F1t=erZW|yhXJHjlt+6-mfn!=ys4u4DAB(^; zkfh_@t1U=pa3ENo38(PKa)}S07%9Noga0wO#}LA2rsLYgTbD+ipRrQWY|?Q} z_MR0{XNi@LW$|+r{(y^1B=RA?^9+DrlkOVpnbCiZbmu&7Vk_xx38nk)XI$s;3z&bZiG3g!w zY^VFm<4c@VZ#StbaZl)UEjsGkBTJn}MuX{y=L?~9fA(LO$~h$_CDZWl>-yJ(H=^;L zXfRMk{+L$FvP6FO@ukl78?o*L=oN@$`>w>_3HZ~pf+xH;^!=qyD--Dt@$iEsr$D-U OqczUABu0IibpJmH>@0lbm3aBMO8a10p6VJVK9LHn1fA*fLU<%Glqa)S}yDR`5n?&kS#GW3nI>#*V zD0Cu}(Gam2cy>0}-s(TjEck%b+DB}RXiqu!@fcF!Lt{bH$k4b{Acbzkt%zU62>5Qd zd&py>5j1u%^I(Ji1q^@1L}76GPOl4+7Yid6oEM2%t%%7qGsp+{QjG)UziEWEO2z_d zoKJP~jjS`L3Q3vg__4HphZ0s)$VOHdnCtH7b2^zoa4#I*ij=arwaBdlPr5ue{Ml&< zsu>Pt42g*+DM`YzS*pH-VJf6vOkx#`SM>5mc%-E-2fcNZmArp{#WbgBhT|^;yBjCi z+X#U+2!8Qrl!CRdNXfg3DO27%V|^3*CO57km`ZqSgy7S-iU6s%Lh-D>6uC`hMe(fQ z0cQ%n3)OA_eBvj(;*7)|1vexGwLxl(?#kr?YEHWpoL6_Eo3@sA=7aS znNC{B)a$kpYLhdaymYG8Z{C%sDrM$pJ>7sD{Jq@bx{|3omW;rm{P_g^tVq_i=LgwM zD1l^2ZJyr;2OpDQ4i&Qy1X=@=d#MVOZwSkiKL{oPGm`-*7?XYoyb7FSImIaf0040X zvqT9m0e?+T!!Qhn?@0WIDtDT;gYluIyC9?;kPwU?khSiINRucIgZ_J>4XU=9A`a1x zeq+CWWJg}DzdI4_!CMwZ9miN{knW{YrsLLyrhlfI7azO^nkC7Snz0ATC!W!!WW;Pr z*MHk<_>Z@$s|6C+|*zhIfO?sjhz{xrx_L@8Bnz4h~W_as+kYs zHYCKpg|8Q*+|&nvd z`66sa;v~a6o9i?En}rYJKIkdoI{|OD7cg4UNc;n#lS7&RlVA=NlRpS1lP#$VlL{yg zlYR)i4lb{UKdAx$0J#kS02Ba|{;3v!?3dkc+AtKy?s;=Y(r_Mz59-hA%Rv?A0St;eUAU<_!r0VnO^T}=Iy9dmEak|S3~4cUWl^d zj~V)XpAS;xDNT4unBX)+FI1uH+2>EwX3|KiDAz>8R1ajhnve`_v~DK8uZj(St%5CDsDl-(|XcVPk5&=6oF(Y8X z$e&I9_oj29sruhoD-t`A_oje<@Ok@?OFZr*5t#XB=49A0hi}ceV~*M-bR(@^yHQ6$KPxpGIUl^TDi5XiirEA?SrN@Zb zn4ArMEo?Sj7q(-#0iT-4MTQ^*VUMt>8S=pAo;<8xj}c)}npqc07JM#$pUlhk%ogIV z3#JW(f_=K&@Zc>^l0DNpD9Wn%*Dwz=fBM z>gksTmS4aZ_NC?nri~|=P;z0QJRA?6?=1id&O)f&~|rOXn9*%W$4eh{3f3VVK^8j zVLph0c|1trr#Bei=3yS=`3)Z4{5918j?E4D`?e}6h#cz<9KWe3JnE{DLW#A$Dn#u{ z7{3wER5qfrRSXUeb;se34Y?ejHaq{k-kSXblVJ`N3PCIKur><-0BD1ge-0ggTW{Mq z7Jgq~|AXLn$GnRSCLP31JX@fhcAHG!6gE$?Xwf?&9w|UDe${-yCNtQ-ut%s;+ z{r=|P|N1RIEs}w!a;L>;q4?!OIwJHlAu&IFUE0R)TQN5DJ_>#p^7oN=c@EZ zwJf|!2KuweuVobJtMu*Q4QD&dft~t8C?# zn&e%J{7Ht=~sodf6xKzPf4? z&?W8eB@yjD!u%5X{T{-9?7Pkl*=Z;v)A?^J5UD<_(FEC&1SfGQvmzUoCt)_Jpim=9 z2@jGAPr4P~`dv!9SoGVJ``tFd9JIyd#bx{AoIxLouE0I|z3L!U`TEl|r&IMu)iEn& zIqk@mQiRy&2r(lc2!s#)1&MMffFRsTieNx7n3q>@n#-d6Bg!U!p1wG1Ni72{6Vvs< zUDflWK_#wW@V}NfoFs~fcR}Jop(JSwtkQO-Me2-c){m3KR4PfmuS)V1QB!u2CGkN2 zXuinNO)rt2D)X$>?<8cZ84gt! zH<`ia%uNpSU3HMuCSbT;!P*MAHerOK29T79QU`_FeuegC66LMga^mUm+`)*zOpQ4!n8yJH@q zlb3J$A9|j?VG(8@|GYYQk(7;`cB;#HGRkCJjwcHhiqgTyKn9;)zFVeGvV=2|tp#dW z@VNRVOWM~nG~QYh`BeP_&}bqIDM8eq*F=Is3M)lKnSi)f8|(DYoC>l@XZ6bZZe#Sq z@Ap?}53M49W;Bvh8ZV*kZAQ`1Ii56HKrR~42D$2koQD(mW<$YcMHRSCj zf&3DA*D^>8ZKlm}cpEN3QIqLffxVmEdkW{XT=H~bM%vG16(?ATid7I1PPr+0$|?vj zVw6_2qAImXusyA&VUK{u10Ul{-@Q&U7>3x1b{UkW?2rvvq)DH03qWvC4Y>n@mi_N*N-b9;>`fe^tI zT2~I_6e70ZDqud+?t>LRoh2ips9M-G&B|nrNnx$-GKiDQbl{Z_x$2`*#%9*>^tt;J zOy$)!_7lwHA8lh#ZPXPuww7~^wT+-_ylCrxL5CJWw`7E_0gpFK;`~3x`qo#UYZ+94 zB1jMns~I1~fcXoyDd7a-3Q!1f>M(T>m|8!P!Ho)=@d`|J);4Yd1{o8+a|<}Pz@fVZ zlnL-jBh-Nr>V2l2P4D7KY&W_UyVI#zR-K{K{KD#U>4bzDm3ZX?rHnDV zcWGuh75kiNC+W-csRu9nj!%wH>lTB5yz~3G(0<%fW=Rsso%uw-3O*V8Y%hF5KBv@r z3)k=o5h~PwN2p(IuiydD>A(A@(+X!f+09vwA@b|pycqZ#I0v5_oeq*tpR=S4Mv?bE z8$`P^X@#qt?B*(;W0Ef@AjYxbnB#LWeZ7+Xu&2`0vSX{(HrLafqq) zjSvb1vO2?Y+;RNCIDVbUzi;<7ST}HN59?tZJOVfUKz+yRC+}iB#(^^ucAfOjLVzs1^jFY{AO3#9>9+< z?;;$zTY7)ucb3gBFLddpLgP*5{j`h>}&t{dV;phAs(f0iGA>@QN6APb;g^2}0jOg>7 zM?JA{4BdNkf+QaY&t~ainFG6@sdvEIdvk80^7*)W)!M&VKWw!B{OaKj)|oQNSf%|T z5e(RQ*o@~FK&EF?&N_R4QA~e6GSBa?#m}W>NxH9PNrJIJI?#cp9}^r1<4|_|bo_L) zrzi7sY2}peYvt4j3<5;#_=yD;{=&*B1wO>bzAWPy>Sj+zJ9|1ZU>)h~X;ZK$+8h8{ z8!U=U&jUR-Sd`34b6!>NM+9pkMgiz!y(81COF_yOM+yia*29B;87epm!!y5LQjda>@y-O~lnP%UyJ-7mHZNnu)?ofN))$G9;=)YCy-!U5ug2AtL%X zk4Bwfh}rPHdqy3jeiO^vq3#ExPK$p7>i81smVz^G6M=ChBoXxm6|_3mPas}AH%W=l zbQ}#crS%118@8^0Z-q!buEED&-rykla*%9hWNRTQrc<=NL(;@`oIg#0v3@CwaiS1J z`GS|FLL%bTUo8yD3x%;-m?R<1uAgbuHSm>?P3x{!BblKMkpJtERBk-B- zTANW)McD)~MzcYT=i?jW>L)u0?MszW9|<+QS}YRG6&M$Pmh-XSY~^=Yd?i@a0^5W| zxH7=@6pLg%6l;|37m9N`OB@uB1&W*JunXCUh=3$Lmi;X9zVd`w@&$)mpF4M^ai+ppRj@ES2(U$zpdakzjW=Rd%>fF z%(Ef$+TZTDtisL5wT#S|qV%U()HGM#t&GoF-@0AFP>*-pT}b+Eav}Wod7sgCpJGCK zU4Gufm)}**b4X4@8JSqtZwKD}BuR@?b@Qw>F3bG1(=jy-ijzqk%B;wSpkwM*f7&HjHKbf612eQF@_mqhxHH00 z4=W?tGq>_M9aVF(X%gLbmGA$PU=9@u7k30TFcAO%?Y@(L4i|I%N8^^VN zPu2YoD89GZ%jr!OIn~Q_;wm|ISqQWd0&+4*>dsvgnWljCdJ}xIh`#oUZ4Eq*MQW?WL@QpX+B>riq|I} zi}lH0zW<*;{ds@&YCWG$;?s-utNiuJWmT)>C++j)A&t(C|8qH+P2+f-Ocwc7 z9F~*Q_CkAG{qN#^eQ?@){GvO|U)`LSSLrwQZF2MaBo6+^^!GHKD{iXES#$F2_Uzf^ zPxst=cA4FC_i6Wm)2)xjR^BY$uA26_blC3{!(Ff5R%JfBxU43oESDc9Zn;<#XVtQt zP<_%i@y&m_>Kc1p&R(DVCj@GF7665KgaBJWHUo_UWh$dGILgF-)6+z}IxCBM0)A*0 zQXI!_MG>(xi&wL=a=BifSKpm2uiE9%t*SVI1ll!~`tY9&gi$tQu?31C2tpzyZ9vvy zg1OXzc>UjYkYeMz-?}@e?P#>avKgej8M-&ivwwfCn|a;7dUw9JPOtBuY^pVQlJlH*uPR|$V z?|M0(O~Y(Hum4HIT)25Td7sZ;pS-(hUNFDD`f)jJ&Pk4Ox3V_3H~($FDSgQ2>&xb$ zX*_>wI#%VgdA68Ns*kI^xBx8oka|j z&0FH#>8|tGj?II3|AX!Q_1K@E$HDuYhc{2uUtTV5AHJF`mgRjfsBSi2Ztib--}E}I zst%z0kP2ciQh^U0saQA_EJ#GOQV3jzktKgYAub$n%>#E@JQAt#fyFdltUoAp`fxqD zPXc11c>`ifvEZ~vy-9;$!edwTh~N>ycM&XMFM=f-I)a0-DrAHs4^|*Bgd*$WzcxZc zTH+04_IY_N=Nyxk*HWS=fts7{2w4OqiQ_%ObcE?$IiJbBI3-LB9jMeB0iJ1OndW~8 zz%gV*=8&g>8D}yfHG5v5Dylfsjc(HbwVuHds3TAhlZf}ibnx6%o4|N54C#Pv)Lbz| zMrITR4T?L6QZdb*7o7@1tA}kHZq`HaSPU~XF>bDn2Ktbuo1jH~f#(O{_N(k9nfhY({yXkrWO=VmFpTf^# znOIWOyl#!B=1)JStMB4(?9YcXUqxX4>pCxslSx_4-EzKJfGcxd#h0}2Zk~Ur0kW>% zre#yNe^vj9ugd2a5ng9i+4QTvvyA8Gd9p7T3-ph-CzJKRld&uE(aF17VV=&)swN!! zIC5IOeU2@BjOsG)#;{Bsnb2r0BsWCY3pf%4OJ zwVq9jN5g~AK0Zj(=m7)71z}|t0pcwN1dh05br8s6>v%*5w$>a++=_p)7S`~v-U3ub z2)!753<4SW@t9ycn4m+)gp|^N$u!bjM5aL;NrII?#b;KtcQmHfwHf-0hTa*5TL z;6#$13X&$2^3IFJl&YD^Q86+9A&q@puvK?Zb_ee^XI>g^Qd1reosGS01D(O!$B2vS zhv5=QoJH+DgbXPeETVth@r>!Hq{u`4#gAksnn>B%swH5Fd(csoi{#)Np|cB}$@;2t z^CDk7H;#V)VX?ZdCRJWu6xHu{5LJf_L=`9hWtg|jpj2R4yvYtf@Y~-iJNvxhZ+-tAVTnUOhq3&6sX#Lyrujxf|>3DE)`~S1mU+1!u?Slbnu4y%mu+D1q8q_ z0wy(zxWowKz`TE9K1j!(oAnW_v3eMKgepPEm*IV5RL9rsTxL7A9X5E|jwd=(G*d`h zU=fgpq$7i{F&X9rDB(x4cQULAmJRMCZ~--2*|zOaDO-EzsG#-~)S@hF|G`ic)$m(S zIo<32$k)YumXfv*tv@#4&Ryj<-=OOKFkOR9j@5>)4L*OR$`fFjzzh);vPjn)K~ch2 z$SZJ+Ssjc$G(*w?1O-`VR@~CHs9%F<#fg!w4aa8vW_h5+dT*HLMl5(Z z@oDgKg2@<9xrj&;o25GD_HF&Mrwrj(YHIz-|(BPM@TK+0Q#L{xU7B$*MZ!%;B72?H!U zzb1{%>iIQU)Ydc|m9eilfM}m2$2U=_4@z=;@L{S(TPZEZD3HitfCh;ywGmdB=%lEb zz~!UWv30f4#vWaUqIPrstv2J`=kSbjA699#CEN+D6zOYdGD(FAmRu2FUr%+4yE0-` z&LV%x=A$LM?LEMNLfx=?{+2Jpu+P z!6^W;j!d9f5a?l$Q%zEaZi~VC@@U2!LA!qi+WkR9eDFa;j(9K-Ib~*O6n;h2DGkE` zrE)AHqY=>uCoz7(5>B*rI7Fz52;F-t!!SW9jFr|#nD)z5>~|T8!Mh9@2#}6y6u+3I zFn5lO_9ik|?WK2&V>s06^QB;#%2=qbfRiSI_I>lfWhc}gJ=Cw6;BA;DlpX-nyFP!6 z8jhm_<9W)>>^LyQ;2juBQO~85hy5qfBL%44%V6_K(hZ^0|mPx)iyIg;kTeRXJg0hqCw3ws6ziHd>2VlElcz0ksc&0~!4jvRm zB&ZC5^Z{uF9A#ch$*Cd6H-ZGj)n$Q~d42-^|1d-s}1 ziSYaOnjl|+M^PD*nSi_`41uQ_8E_Iw&qc_jR+g!ugaL3ubc$PjFbscStPS#lv_+B< z*BSu+5RMDQbMuNa~UGF+sA_9=8J<&B~nu0VX4|C6L#z?g8r0Dzj;+CS> zK~Qtrgjap8%C_ObcU6D31D%U^EkNs!Yrm`xM{i?vd;mxFrOSL;>}$~d06%~-92?;C z+b{o79$ydceH^~0);G+&QS0f@wkMbs^XcT%6(RPuzpDcAoc;K%$p2X^BKdk;SDv#A zlhbct9)7;e*LTa4e_eB6rtc%X4=u31R|Y?zJRcc;eLkri1txzoBw4Z{ORtb6JVU`c zCuLNuy?KtPzCDR6MB*rdvo>*sXxa~q9}`!`z`kv)?vI*JcpiEgzaX&iLd5TaL;%tt ztF%EG*NkM;Wc0Zsa0TZYr!A*9+0Xr8`LU3|OVW~e_Owb!96Cn~Tv<&h@_}#!!aHPq zY=$xse3%lU?dN~^g&wh44+9Xosfl?nn8s29U*%pTbY}yr1eBp$im;MKXMnIyd%bDR zk!G1f&Xcqu2@5tg;|QpbX%DLut$z~?w zHY49Y3it2slaM-iW-26S#5X~F;CRXdkrGLlc`Lam0uEK%zL;@D2;N4?5=EoBR)+{| zVNXUxN;QA(aWEqF0i^CPqLt7*aHN8DEEvrY7HM}rjvX=%GK4dVu_Fct`OLeKM2;;e zZruxYA%UKIVJsvt0`-$X-Crq-u)#;jE5oheiXj@cQ3NIvD2i?ZaZ12M2{*`R@eOVp zgA`~b8-=qeCD7Z?9GGBu-O5J9wwQ2^0h78sWJ7Q^j$EVzU5g z7nI8gHOw4m8xAQMSFJ%PoekdgPBg>TbDFl_NEPdpNinhl z8Od$P7_$OD)>QkHtZ+kg6P+oVDWokh1k8|hWDtKg zCc~TnCHy$0W|;ua+cK9#a)DKEqXWjNOxH*B--G@(m3lB#ApG{}r0Sinyvx_ce71<# ziHv99ox93!UKU;3*c3Lk=Lc^=7z|w#kyfRs$dRs%bZw+-N2hD`&#z@s+i*L8*GDbA zvQ`V~l9P?3y%W8b$OOSr;0(Z7WgJk;61`dx*r8Xi;>p9v)N1*mC|AqbqDqtsx0I^s zEe0%wl)BC4;;NSBJO~vPDhl)HZw_+iPPO@ zTB0OwDUt>0TRZ*l3xHSMB1^IzIiVjciUL4fzVqSWoCENupYNBEbsNYmOybKPc;ob} zAf6=CFrHube*faxyk}*(A5Z-#iG$1DLy+};e*5o#{ghs0(bQ78@9!tJiX}m zv&kY@`q`T#4Py1%ERjn;S6}74Kb8KSDs>t4q2tj0(huWanO{C8e=?helVFrgZk9ou z7lp_m@^e-5Y!RkeRa*L((oz{@su+`drAlvA%O+RRRDWja7a0WlD!%2sp_wu75huoExmsELz#cfe2s6-MSm^(yt?!+?q_nTKULeT`^%o{{0IHNukQu- zxiu+nPMW)u#m6maCyVix)cxuK{nkTutBT5PHb2Tx`2zENl-VK8DO2LPUT6(YXP=n8?6% z{<{)Hst+qP0lG1Q%Wxu-EScqRCdsmdLWL+bcmS7p(yjR0a|v;g@NB}FYh%P6TZ~6z zdo-Yc0pSWXB>$8hq$*#1n&$M&{wOsU4&xpfo)6i^Uk#s>h71c9p~$^>&b#KlNq zN{|E5%6Rz~sm$_Uf@FVb>5I#r)MKE>#B{xXTlV~XS`L>#{a??TEh8m}w|-;+A*uq) zwEdmt7XHz3ifxGQAnu*-Q;io!Qx+xz1spoWxD$ zWf&)NTLDq?VF2uQe}#KP5ggxWdHVF5&vHr&8pw5Yr}QZQ09hQjzJ35L$HYyRNej>Qu?NHI&1#c z`#7}pjRMt0#Sg2!6-Zf+@HcA3@FvTXj8R;WF=YZdc$JJ5TQh%7c|pbu6Nj(Pots>|Lc>MU zHn*;hh@4;7<3Y)Yd0y4a?PQwlTCCjB-}BJlB$vAgQ1!FrNAtLqXiXY}*#7;hltyr* zG;R!iTcpNW9xe-Y_GCFCn{s4u|0HsRIG_mfPL4V`I!8Ggh5kHFlrT+Bmm|6Ve+AR#mxuJwX>)QPUzIX7 z)R!CXhT7k7l}kUIFLG-jWpZb!XU1wE5@`Vg50ihXE<%k{JXPN+3rh)&LiE}RS4FaQ zdzYnKi6zCsKPW@U@&rWDxSA)SS!<$f5ALqj!> zHy}SkJhsc#BShn+<*LEu7P->nTw!ZBYlGVQ_C*b#o7`Jq)%a#<#8%ij-Y25HFxV`k z8l-=4heJe4mx$wl0>{VGcSFJr1U9(kPWtI(FHYj1*Www|x#{30S4EU17wI2mI&brf zChPMs*S?F>o7~EMIS=yPI>@VN%CCMDSy>QgiG1w(elM@q=Ca+q+L+H;yqe|fv|73P z8p0*zFGV9yBV5QZrGgiPiy=mQjc`l%FF=0+h=Pne#yw}ot?f%S{ChEfJtIfX$?A*=|tQv!dV z0w!an(@1L;`Sa6JQd@Xxl&l(fjzF4E`FF2nTY#67Ep)Jdr7-{bHF+ zPmkvSVgo0}JpTkZVMPBGOG*ToaKySv&_R%Do1q4ZN-2bSbO)3t0?Oznf3SuRlPK5| zkF~q{=MagNF8sk-{nhI2lP~?x=Q)1>P3>NzuJZk$#_dqkq2^%JthxYSA8HPp|Dm%n z|BEM@|2aeelzJk-6hUG%&~EZJgu?agI7W(KFkLv@7srJCWAo)^JiH;&gi=!Wfl~FoX!-+>U<wZO1I~4z;;KoT zF-My+yEv)y@!Ic0AF5p-JJE+4pU779RT$euAA3Ud5vssd8p^k#4?qf;Q+iZ7_`MGJ z;r+3ocq0~cWA1zedfL+`<^+GkwaC5FUyC4TY|k+U9eBh|{R}$?$4g<8$!w?RlRnKid5TnD|^I@%a0q?{b zX?()RV+}J1bUfrvtT7$mtZ_zxVATBBQPtVQhwh)}=+-?Cjha;{Q4was@J4&}ywYn(X*91gXn<#byku^4p<9Un{D1hDyp%Eeg#_Q^O zx2fh8f~P)NZ8vxf37rU@wo|m*k@dZRr{0&f8$8WMty7t&*v^MB5Oh8AvIEa++FZgm zHkYuc+Fa81yU5SJ{9TUTp8^{T9Z z=xN7ED*c2LtsfK+g&eLe#5#y}5Iq#4s5Vwsh67X#;2FR30gap?9}j>HM}XRRG#J^g z$A~=`ju>&N%f^4=kas?uaMBUt0OQg$!WqZTx@kn8bVSRb2}f^se(`X_=$-C+g|@u9 zkk!R?eWyDQ>3co=VQ*;BqoPC3oDdi%LZC6XIUWsdJiyQ%P!4TZEn!D|go&Wyk%Z84 zDx0o*pG^M9%)qtIf2y3!yJPvD*2#?2;u@mjEkKx1fSrGJHz8n-Af>gQatEM2p5~Rc zctQA`x&Gyr*BU_^XZF)`7c=M%9gGREIndSMlqi84cs4_0NEikJ@aKHj5(EGtT1HlL zDja7mxW79Ww$qu<m-E%^^Dm__F#X+;TT~t8bSCXT6+5^Dl91E>*tXo#U-FLWPhid$Iii{6CUV}y7sdm3iXR9 zZD^i#Ud@?)nXfJIX*0u@8yHGQTF!8tdb-t<@+d@w{~jqeoT4SZjyn~#Han%o64O+xc(T;P<*HVpxUG9pkBW#2bdt3GS= zmT$bBMDl~5e!MlKPEDPbYc&|$yZu@Z zli}^0FXa)!e`A1$fa0C}JCHJVD~z-irdw&c_~jAZbTNHId!QdM=si@vRqNct*TMRA zu5wW@a;1$N_;lU~?cIv!BlC|q#-THfsTIS>qIO8Fa7qI!#>AguFP>oH zewKG5cbIafK>Luja6{m979#fn^2t1=t;0!FgF@4 z!tz)z3~^UO;DiiZr`KLogKg*^#oZ@93aKc*9mzt0tsW_b)2`$ux=&5~2W6 z3eOhmggPFE6W+fv+qoi&NmZysOv)7OjLcMUij_aoa1s2j$1L?)C3 zFJB`Pb?K1I?Ej}!kdGbraIH|{AVU1(ua#$)M=g_it?pIAL*+8@e ze{WpjbJ+MLORF+73btm&6D;CyTfAE2{OJZ25gs-eS1T?SPqs*(U@@ORYVdn=4b@x| zC1Q6zCdbY-{*+7|f_y6sk!R631e$SOVqrLqFpZEuK`+lWnSeg{6TC@M%sb9e8Th|) zj!R(bb?$X&*rP-#NXpqx;IC+QRkMz~zwrv_kUW!)YIkv4k(~WX_wzV_RPg29qk#^j3P?hYw$hu@3JH!oeU?Cei zK$zI3R!BV0nt0^t$em+C{KW|1J0dP&?hq$i#9_5dWXw;HKNE5lv?GUH z;@m+_dyoUXYGHMP{8^Br*TCx%=MHkGHK@W1@cTerx8--lr-2QlV zyDw7@E3sgFvr7YaT(pVNz1_nfsccntw{%`4NnTrwAK&^=pK?y|*}GAogK&z^o>evR zA@d4CGfo)w###!ms-zrNAG2sj03&uReNJ(2XG-r04$6G`uOn*HWd z{h~sDsBORFw!b+)`wNp{4iuBXB@C0`C?>OTG6n&YfU*jc3Ml-Oe^Jzvz$FZm;3y`t zCt&*rwv}>;j(AU}?r%$p&N{Vw^>H!WAz%VK5 z@bFx|Ly_-4Y@6EHFws}MJu&e+%QRS9@Um)ePRvhN8466Jmw8*}HE-F8xnsTg;q>R9 z-goo9F3<8EUrPgYwEa9kF@IN5cJtY+FIKF{`**x!ExcQD(c}^y#m%e~`4`aL)HB<% zyjhc1tvSvYhs@{8WmT|*7wd+#a!?{zolCI4UsYW{Y3mNr)(O^w8a?}=NpjbLxBU7K z)6ha<1CQ7|1;2|$T^eoPz`#`{Yi2-njF`6)G--jpyAq74Uc32KbX$w=Qs{Z-n@eF- zrP4FbH)rFEQA|I!8{L^bntn5N^Z9lu8r4EDjO~dDIoqlKnHpnTX%xd?u^%l~7thER ztMnP^*|fmy-lEE@!MLaH*poX$I8>Ch9cR6qER%UaASl_`n-_xVwER{M358%yoMG=t zZkwtQyyr{#uHelG$;29@6_YWo%G{H|KpcM~0me_Qc4sy^K1N{5NZ+0D;&X4b95SX7 zJS6Z=V#um$yVb~+RKXhMhL^gt5BG?J+)`fd)FRi=>cM5 z(q%3Bv>381Pvg2OJ{yu7tgNK*G4CZ4hF%|P8?-0~2RF4A)|QvK$bY|6*4F6!Yz%)$ zr|q1KnoV5%HyzGI$5*u&WKU~$XC79!M*P=vi0krdMaXHjGY zugXeQZucTbz@$@2-PCtmz)RSq_i0g?(XjLnXc>rjtXv!XvhoEhIP9A>+pziojrNZ< zUacx|xI*X03NyYIa&@pqa)8Ea`K*7!W7iy+YA(qodr)xBTQ4}8W=V#V2;nS)f+IFT zLF8E|ByOCBHje$+d;QJ1lyL0%3p~l|r7}zv;qY-hN);~Q1fjhRBG>UkJ4D!uQbZh* zBI@`)iv5U&Zjv~ZI=9nlq=aP3s$p4mvli@Ptxg;FQ5%pk`bNez%iFsem#2T&M(~4B zhkNY6h8Msy%1X$4CxZWtpgSR$ajrIy`w)`14q=>msTX7kqOPwXv_ce+C_tW%NoFO~ zp>Fc}5H6({DExDs3y9$a;t@Xwua$jo%KoCRN@kp|o9jmmxNm_5e!1vx& zG|&u1a8wMOBu%Mry*>kQ$%232J&S?%uN?``#y*uz{AnwF-fS ziUhQ^_?UMW8;wh&k6y7J>J*}b=w3wmK3&33{{@p_4ip7JY-dI$lj~e&e}G|85_$BI z=X^(>q}Ok*^2}I^x=G98U;8Tk+NemPtSrRAI znsk~+X))=?*JH+)i$xj>f4_`ZxhUEWq!wA!%J`dQS~UY&H3qG!MI&MK=$j$6*Oi=Y zds&`con4kE=YLHM-ML=N!*Fsa=O53r#3-U%9`MS%it4l}3w@@k&T1i4t62YDSM%z$ z)&q{$r?rtL3ZNf2nf538ZhE&^>&Eof@Qa}t&8`-8uC{VDjH`o*eY7qOc-i;bR0)bJ4Cdq(}T&!Fhs5u zgam}L1&HYq;0DA7A;LUBEc6hjpVVkbXK^hwFMlK*qjIqZp+S0w+&qozvMCqstGLX2 zl?-B&yh76Rs>1yke^4(p1A>8XIxZm8qkuca1&D{l#?W&JbUyVfA%h>bdOFj7;{6H? zj5O6z8NY9gqLhp&f;$2?Jvvs^wptG2Qj691>rU#%cDeU*&9Tim*Cb+UL1f)z9hw%j zsM*sAF|sU*Zbl(mY7|ike;pr>1|JMX^|xSt27q&s~C4Nf3D)_?R$2F!q5RI3X~pZ$n~*9P*zaK}EX?ND1eA zln5z@u+!OAe~MCwjB(Z}kt1wFG**a4RK&|t-Vn|^$U&33z0RN+Qq9iVfCui0rVrxj$sv!LD1YgwD-NDUb+^Iwx;+d&7jao6MkdxG8-b`7_hH1d zWm=C1?C*XL0fyzOZkMAG$q2-Bu@~@1S6bG+l@@vKNsTQ(3~g)!8X_BzKvw*&1w+6b z6fh@rT*ADcRcWaN|9chHG6DJ=?`rdJ$#Du9f7186`|B=_p>lzjy6dIxda1kq7j+kX zsP3|!yG28SIdP$U7$!(Q4EweNY|oT`K4#dpS?GpOYSDLXS>JjeZOix6UOTq@QhUAB zUSo5c4Y}<{t+tM+8#uP_0f#d}AY{Jn{e;>pX}ij6=g|sw zPcORfO>q4leq`()04`<>c+7Ea%lEPC;!ne;g+!9srnv~y zi&ZU7R;}i8E45BCMw7Q*CZb}Kp-XlNVxn0pgR9VmWKx4Bt+N_1CRlPOfWH zK{UDs_FHTU6*`r(rSj25%NB?kct z(iKwq#smNW1r(F;Tq2Y2umykYnA>jKHW+~K2kadL?V6EDQ75BLA?h#;NRwbrA3%}l zSgRyz6z$k63iP$M$LW)dq@d#)k5~V(r|72exU@ zwqRw|Ud+vpXBh}gqZfHw<~3{S+}zRLe1G`!Pw%=}UzbPuj;)0uWwd|&ET5YzA-b7m z^~H)dd4Iq<+RArJ#+zKoM}A?IJinB(o7zIQ?O9D;wdOdU?=zk)msLR%R;(M^ia`jc zbuOg-{i^EvNm{p$w2sqWiqWHQt0Z=vv{qcQlk-1JL-*qw*#gZ&X?n4!OQX#j*>HBr zjF`1TiqWt7?u=8aYV9%RSKckUQ?5rGZ%(;Ul}f@m(zM1GV>kWSZgj=EGyGzz=CkdR zH>#ALFt&4325hJPlR;U%0i~1wSr~s0o%+*ia2b(0S9wcY@NqFjSscc7ReUl8GiX@} z<3rvH$_+igOl&Dd8911!rO>uK&3XR&m9Vx3$48?>I<4oVlr?ejeL9?pjxj}y(>gEc ziq)l50=;!sASAYzco@J0VSr&00XcmJ5@NdqMIIppza}gFUsC_PUMh9A*PVaA1Iz=9 zL*N8*P19Kq1LS(hy}eGjFo2%wpz35yDMC~;&I^%TClb>YPzBI9um>h63x zh-c5ijZ8vsuz7MfSm2Y&_`%|Marp`^BTgLM5W6lvfGX)|U!o4%GyP=LX(Sts7Cnvc zwFrFIOmK^+6riF>%^f~7d`kk?R6F9+M0e8{@hZ|-7692IP>My5#qlaydPrLLSRb^C zzgeP%MS>?+bz`^BYi!;vG)T?1w^vaIRVEpW?x(^{xL&{VlWZtYXpb&WdD3q z`kvPb>1W2i6W*u$i`%0U{Xfgw1`AH<$}d2mbeumVA-(Jc*jJSgK*7hAN^!V3^(4!e zX!dkc)0~bCX|YW5d#TS?)1B1BJh0_%(Aq2qRavZOq7bEF)roh-e0->BD*AL%k#wzL zm%3C#WS)<&AUcYBr9EIRl5}~SIHUEPdFYyzTCA;Ns=5@suSHRT#^9_w9J%gVDZohN zae>!+Q(LH!a9%eI@N(O1pi7=GfRTV!mPYU3X%ehrtR_u^&}&_pvl_L^L$@d7QKSV9 zf5XCtbg!JPRMx_d&lTEEOl~Dh%vODVZu2ghUmcb{*NBE#&*>tqJC-+Nzth?w&#DwA zA`3Oys+mjdIY_;~oE+NXWmMTO9PoFlEW#coWwArow4XFJ& zuC{}Z%EE6(0qJoM64E#Sn$#G z!PmZkqq*_~u1OcwsIM}Q;ru$*l36ci+&$$o8hOz8jg>G%ASz$v$IO$Qx*^FN43zdn zqz}mvg4)9?(PbK@Ym1g{pVaaW^?Ac)w#1v) zLubBpVA%Qhdg*+Z(th{7{-^J09_BPp;iPs80gtd2kNr-@k@u&A(11p-KGa05h*k>6zm^T}O5gs5wbrz|@O8NB9BC<>$Ai0v;-502 zZTs~XTr){%cekWxT9RH+Xh-PNcCJmH*oG zo&|x1kcBf+j43+QrCR>g^|&fNDScEikcoY^8gspb=}3#1V^z56MJH~*_v&K24LN~BcGim~{nE#{i&~bBqpN z(%q8q%evf>FOjdR7gq6IXN`P(UnAfvibtwqGoaf=-;CNC?-hzuAHOC@r=5*31h9MP zJ4MJzfA~;w!HQ(le{2cSjez5#F|H-MOk9x85OgNGJG&iCYw>*A2bR7KeY*4K*<7iT z=J(4u&It4)_oaG4bw!V$I{G3%1TjcFXQU%2Rt>&i(>}3qWLEtB%eR;f?JIg;caaug8XIcz}Ut*P)A@}W1<(lG@M>@;7DU;fb8Rv zN8Z3^lp_Oyk>rv0@EW8fy|?7sfzFxQiTY=Y8G5l;F`XzFNtlwHm>G+9okNIcU3QN; z)kOCp;(?3d9{HYNJf0X5NMl<$WoLe_K#s+j0A}-J zi-z^%>+N%*vlChgsLNc)$3%Y+0`?q>=uh*ZD_=Z0x6nX@1K7NEJRCp#L8Nonsg#1%9#U31#G0{Yyyjq;lpjq;~2JQ53tsG!3`uA&2f@6ypK;jd*?*mNR;1tB+~S_sHvp2|zk>2w_V%cdQjH z<9?oksw;%P+9<|PF%-cQY-yqlwGD1IA%G$V&zMj^iGXiSIG`fHOr}&AW05=AdK3bQ zsc+Sf&Y!dmeIBkgB$B;Ui@`d%xCdB8OV!~4b~}zmyNA?zO;p~q)=9zJ64l49tiZMutWARN zL#{5TqDl2Bbz#_7rLxfQCmXplF7aw!=6%umSZ6GFwz?~Rbv>*3`8_RoxB}rAJHi#@ zk*Oll2bP{;SLUkY&0pH@6^aex@E5d5nXK<>M0bMD=^m!v&h6FVq!4Djn6|U}rb_GN z6ca|4tufrQx2%0wl6qR~+zoIphtIXSmE{+FRy;E2Po<|djn zrc$u?_*rzw`f2xPIvpZ|fj>eH?9Z=Mc^40LCz%}Q4=07e&Yc?6b<>*>?(eYgOvD^I zY1f<;sQt}n2P!}B;L4#`rWeZN>S}E1e@OoHdbeZCd+$~BD)x9A6hjb@=Hd3m?)77- zyc)S1=bn9XHoQD%DBpxDyE++dA(@y^_GQs#N-t9xVeb;OCiAb-O9BRi!*|qhU+XHd zd$g2}zQ31=dLuANTfO@-biVK1ArKy4rv9S6;#P{ECuv6f zwS_g|Mmp5az6H%}V{hSPSR~pFkyCe$P;cAyGr}EQyFEUY&o#REv1tHXcU9;_rkFvz zRG>1em|c`%%oyf&>M<@Kl0I2SF*c_kaG2mjN{Fs-fCf6Po}`s#dQ@1LTx?i$;f=sQ z0o>spga+7x(48gt0y#=0xxyqq`sDD*e&YzwnlT0GfdfAaeXecXZB1q7Q%UsI!&Q^i zRecpriy=3Nu1EJpNk)2|>m1o{VkerGuej}oBe>@m7T)^U&M_$-D>=#gLOtTK2EJh1 zDg>Sh`!tBenk4{b#Q0>qKJ|JXI_b+|0#6vy&$-KGsVQrbi;;h62a!hY*82J(5^DqA zABol4LIeQ$J1LNn>YkC3M7uwl=zi}BcJcZ-*^9ORouAz`h1*RyS`+{6W!%i6ivCvau2Us8obBYJio1{&!cS6#T(6o{jrrEk+IpS9c}}4! zlxSn2`EoYdmqv!%8uNw;2Ijr~*;c_H0B0H=K?IO!sdcK2LPf%=26cNKl6lCjF za7t>Xetb_Kw2v#j@Zrg+JQW{lx;VW(F}ba;QY(STN&B_L>?AaPXoTN;e1my88o%9z zkZ=crslt^;=jl|Jg8jL@CeGjws$tmR-J4znHPQX=NI5!7rH=8W#oM~nrEHWvcdpQW zjj_Or+FZ{}N1uPsK?%1`)54cw+*8yG^_7nec53_u6!ig}<(IZBNGkRciZKK0Rd@nf zpEQY;M5u#E`0T`$p)p>Wh$*9Ep;7aglq-P8dXB;ltm@xr^=eo>^#v4k7@L=C9esn= zl$z%D;>0Vh$RYfm`2xPWy6?SC!VxXznvXA#5ri4n9XQq}!4&S<$6%isuM(%cZ<35C zqo+S*o3q~5OE8AByD&j>KStyPg9Cm+isTxCU%*g$Xowvj3Fcn&7uB%kT@thyE_*|u zCPjt%Vrscm;Hu2ZNI-qUUco`qa@z5ubwrnd*_p-MJ!XmWVATljOQGO67}L3sggO?W zK3FrGn1X+O{Q`aXlmT^jM_ z6M82j$__AFFjUdDbYBdAIeDi#=MQ}(FO+w57NGfAaJp-kpCMB6q3C;I+U7aO@J%MY zgG`6_u0Rh{`w*h%2KxYcSUkmwix9Q|;B0w^ddbd{rq(Of((;X%#h}-4mXI4I0c)YY z)LcU*W)1x%5MZleCfYS`iB$-NzDDxCB4`SP(2rVF|NENa_5P*L*ir!G zc2}ebPOR_BfEy%kL*@)R;P8JZ(o=Zm{MvnpZcPD*)K<9~TR9JChpFlR4oH&Grkxh$ zJ7jGz>XEjY9h)}2$6os7>ndt6&LlW%Mm!*c`jKf%5D};vj9z&B!nBany%uL(PT`j; z`c`No9`y@X84Rukhw-njGot~|gYoSZ1{s^AJa~(!Scimp_sLbYYt)+Ev7d!46>e=q z6~tmd?kSbao0KErOP8=FPwi-5$QgGp?-W6uQg3XjF|IOJQMvPdYVjCPfMJA$l_?-DhFz&`l zU#9hj6fMul(+bmTO?iOLoRI!l0YiXQ*Vk@?`pbR-f?zq~t5BG(4mQasn*e8VA?U*A z^AKB>hSz=o>jdhSGP2$1uRR^#n-5dD4R@6lef4%VMJ%;kt1XT%VeuP-Jdv>t#W6BI z%DyJ1r3=Lxq#P=5zM-`|yWEnnhCv?vO14)u~N&^9E;^gGXFkcl!55~I?!**&bq*UVn(NW zXya{L^}%w^VdHn4X!&d&xQx%AvnAnoNF>8`TOCAi$$l^8yc8+G@2!Vu9M{l6pjY5u zbl70>3S=+}BLeUbxw$7+M)rqF?wAvPBu)FlQ6t08a^)rIpCy%%grkq+2xy=jEU~n+O%pDt4`A!QeND=qAXaF3Rz(Dl-y5h z=k;#Gg6EIBrL9dCrn(7f*)zk^gsWLc5KH&P5At&(G+-8;bB063#Ml{Io2fvC@3!90k>7-Kse8QIQorq^!R0we2DN1lA}fcNNQfBgQs&Q?m-DjoF>nMs}a@U$GsQGwzDD}Qlfv#NAlNl6e&_wV*xnA)>6L`sp zJ{ne&}W@M$Qs405gS=M23Lew^k{@t4JR;}UE6 z#{TNWSQ185JUq;6)YLK<=Kdy`f$)m$g6)fFgtScF z7UW{pyoAG}*9+h3k3aNonr|bga-Zzc-NwejyH=%L*L}cSvkka$3y`e@Fzmg`-q`!} z8eZmg&ailK#Cpfbd6%x8CY9dMos~yO_+lX&yOJgk+4);f13I)Bto$pCT?M?ctlQHKtqUjMCeZq4kkD4mB~6GKba0P-^3+Dc*0cBXdg1gadL=WXgcSs zc&@N{u_JMrWdYw3C5bh*uR=3ffy%vKXHXF_kd0RKh>C7!L5`Ou%9e|W%mJsz^~z;zZRAywK6&?{suTtOkYR^~kjtS`|N zGh9|5K`cn@jbP>!z+2Hd{8HM*VFbX?&^0>>7*SFQetWvOi1S4NSYJ{bDi_=!Nd(YJ zb2`i(3>jYyU!9vL4zo^IOjA;IG`yc}o5_Ak+vT>)DDr$ibX|D6HOyRdOH<$N&ZGO$ z*;a*QYISkgwhwzG5+Q|bz1OakCZeX|!))4kj4i7yy_|u6UMKw;;!72|MRE$Ju%LZl zy0KhzG@C8vK?TKFyn-go;2EyeDFGO)v6>}#Mju!vMOOmfA z&>vNr0u3{sUDSjgQKsQR$OJk$O&V*&62)_4BJ-xoC~f37R@@|yZ3o^{A3+O~6% z79`h^!!SWD&9$@ixh`2CxR3*|$)CoQ$}gJHD)p@z5=P)g5aU`E3wn*AV3*kc)`QK5 z8%KbjG-c;)o?0NyUgP%zML9jdYSiB%+LYDgpxh@Kgu!bVXgGl3LNAdY@yLdd{OO=e ziFM1{gC~$6c^uO#CQo;TBAjTE;`4zA>3P4G)T1u-Oo9+kcc~?kD_s1plT(_N(rSV! z2-Ua5>+#)=iQHA*q><$Pz4DI5bz~1w#**+wWC*;(`YO8idf1pFT9_{2?^N%~cGc1o z>O)!M;zJTC)_B5a`I67@-osKHTFr|zpcv|nrL)g)-aVzdUhlLIrE^*Dbem|`Ciics z0QlJ!Q@Q8$GVWC+hV@5vjkK2NiTr3*PU@lwhQaQfVkFxRuOplkYS|6GFW(F^lQ2o& z&;_$geZh)~5nxxUKL;*wnw4uXI%x{0)ilV|PnRmJ8)gJ8O8MF5wIr@BGheZ4ZN+>9j(oVI>cCFNasPSOo``>#NugMt?Yh)FM zv%Nm~rXJui_XW)bVU$5GAJu&gUVbczD!!)p-E#)Qt^*#0?i#>_P#(Z{O_wQ8CfO%M z){UwTKOz>x;_Z%6lA%a|^He=dwE@xM0T*~^7;9?f$NJULcgr=J864_w9D>G&$#6ed3&lq&Yg;5s9=es3!c6 zi5<6EZ5qrl7ydV4uTE$x`PG(l);CM-S1=pD{`>` zyVeVQ=swS^Wyj=ZK&60;u5^m{w&52p#Ob3aQ)&U+0t@>2Y8}`ei$f8`G~<96?CsBc zEYYtZYoL?1T)n)MdzVSNy5>4P_Z3!K4VMFx+|wIYDm-xxk4qd9EhU#L*49&lihCHR<9kGC z*dHiUnBe}b&2v|mMpoIXTRf0VZPk*mMF~fptfB7n_}Fl8C#&NUS`%JLI+?drtAKfr z+T_<3xT!c3L%h-voSo*gf1Alr3<44*Uof;q zn6?6@JiVTLEL#-GB6)J)@p(xZnh8T2kHdxQIbo%GFGA!SY>wM#7;;;vWjtlD*^i1v z6zD6J>UofJ5N-68`~nu}Lksc?Ow*$4s_{!EuM}oPuuP`XG@@#|F;_pr&ssNv%?Z6& zGEV|_s&o88`(e=#n_}@R7<#RR#c7Por0aj>BocQBuaP^e=p1ZHEO!EVxVuGr-Qov~ zIV=LVxkIawQNaU*CNJGp(Rgeyu4_~Y&x{F}*n?<3bS~D;g(X-Iy z0(y)V43JqP+z&NpMQH*oypzr93nW;FZe(LpljiV*lb~@I^MKoa31lIs!`O;Kt1OZXj4QzMe?JLE^lZr zxx7sUHD}(~o=rLTiGyZ6$Ftoga%}CG1!K*pDGb8HV~YjO-(=(EnELZMTA{hMB>52F56Os=Nht#8v{BnhHJ4aOl|JGJ zqF-pMv=ylNo8;1dRF|$!W;9(&z&Cy&As<9hlVp=s@fAkv)9$hzAnMdg6^>SqDCFIg%^{6*D=el68Dvh4BMy=mPK+$)D2V zI(fxBOAZ>oHVga0K71#`MDCPO44&wuH+g!qLaW^m&dy;%`L0RcbsTC_E@%Lf`; z%=Rh~y$uvYn-r6Z@@z(FY-|iS-iGQ?ImN~(q@*bvoq3<_Jg%2{D$s5_)8ze-iQ9jZ3o-1<`knQm_m z5E?+b_T`vzO91Muz8B*MX1({0Eh%i;#app6qkMnPDVP~fHjeRHJcYX!-aR;cFf1CZ z^((k{pXOMq+I*9lNO&Le85VZEBP{|NYS!s632I+g-xsOHVTvDqfp{><37_3`6tgxp zJmj5AIe0{sTjj`~kb;pvUGmYoTGL+q4%8Qv$`xS^oZY6OFFwCiTFA74HulOjVr*4! zMHToSbP?MmZBrUx8hD=0G=A-G7AnN(dn&LiwM_8Yy3G?L35|+P7t=IS63r4tfb!8P zh=tV=vzTy&kA-{EhA}RDfMn)OBjLwwCOxOjS0NV?tX`zfNGwU_8Szk~(1%$E48XZF zo?XrpQ!;mk9Y1@>v^h-V!!WTRwgrD@fwAxL;9Lh!6rq~ENttCa#Tq)lXpTXaTm$l^ zt5ixmDtTeS%o%14|J1%9rlv-P-#GFqxzO6dnk;(Qn_5>ElY@^!1oiD;a>59!Q?}}C z9AX5sBWOA7mwhfElC?fNAt>ccGeFD&Sw?Jt;{eeIQwnBY(1%BDNci^yF;ir^pQyB- z(0<>$z>lq6VaZ0(p%EQY9+??S(K^>$lU>Qy%>CLNSmc(yTU3aKFF&*wk0Nl#Fr;Yj zqj()?IK!h$h|Y*KJdyOH>PJwfeN>FYVcdqPGhk@1Q~LO(2H5*JL;>6Zm-3&u-dxBx zZQF<*$GMJGw3Nvhs;cCEJD1icwC%N zw_W7=@ma+}+Bc&$@U(#(uh3@l$*_r z;!g)QuVJrF`?Bb+-V*WLkc;bK^OX%QP@Htiew@q2`}M&QvaI{8O+zyDi>~W)P?c4bxWv7lI5H9J8tY{XL~E?_r*75}au087SXg!~ zS>uRywUDm@<73vOf6|>LKE!~QmOV}c?eCNbD9Cq5`S(Cg=$aun2M$5HS4sT=ZjBX$vCw$T*n{j3`DOFb#Qf zqkTN1yBE?w?-xCe62nwcc}!)!-AKFc%Q`p(MyZ}bEm8nTR_e`_YwLD2u<|ORvilh% z1b({3hN8wHn_GJZrOG~oJcC6>@gB~U?l+WS|F7%)KURxPX(5-DZVjIcot+LHOb7h_ z`s=KyE>@`uoOgOp{18&JwknQr8-XIt*(*0yFrg#mL=`oPsX!n#(3cVL?!XKt1;Af= z@q*d$7Wq|O#JvJe&G$Z`Qi+9y#GcdIF0VJ8gx*m??_#Z(w^O!g6HTOM)j>sdqk66- zBiquoNxn6eFd(*QU{=Jg?MOf~`oX5Mt}0IUoYwDfcF52lU#Ohyu6c1|n`*1svLsC4 zrcOMw!DV7&G$+s>!>?W6!@+gl*Xy> zo-Q-^HtR?n_@B{7NeYwD2>%sLLh&h(2iAXAlae;sXx7_%a<-aTs}z%;%Sq;a=;&28 z#T#$-$-JX5CM}3$*fWyU?o%G{M)V6ls)T$B5F_H_D8&R>*$lY|2i7%XFr1g zBgi|z$YA5=jZ==q%1t5-=SG0HrHV?NxAEpsul7TDS)2kDnW@eesqx%B$%bvjWfyQ( zI^*N+4C_)Vaj!&Rv&Px`)IKP>-N8?!4|l^TJITxfSD5d1+N9bQ9bI6H3`(;=bd8 zQaL2#h5C$}y=VU-r@N64AAX6zY$7o0pJJ}po9)+bP$t`p_JZixa0*-HL$8^N6gKGh zsi7dD{u#)vUiB3(y2xvewhV=lwJAJ zn^V;E1Ho2%w!led71{0mWb5$(@E~RFs`P6lX?0<@q$sx`R@Ue&u+E8+06vs%J9sZI zFF)R}pu59~=&hQgP?377u(^H)U8$&!{lN&} ze@BbIVZ~3#AZSAVW`qOxCKj8liY8>C1(BZ?bp;9U{YH)rF1sC_(lVDN+$=a>zv|0( z5PGXw$y9{z4U6%vbfDiO!NHYGDFuhBd?Eoy&W|Smgem(ZvKg`EW$|vh$Aicb__}mx z;K!#fZx1?tyla(WF%iV^Y+Sj$`S$d{`wYT+6X)>^5=94A{2{2dH-+uMR-Qz5^<|Pa zbV(ZWP*ur5x>to2KAj`A6%L3Jl854xnf#}5y$pF!S4&!CVJRRtO^@A(ZSoUna}wr#r?fM zDm#Tt&VnY9@^rDfBZXCD%ev91S+sFdJg}6|(w_}8LL%ANa`gsKTyC0jI??To8L;HC)d9>Gf@Ic( z72WpR4~Larr|2cQ-uWetT%Boi;*!42mPcVHKBRI8T^BMX`8;L1Bj7e(70;~A=&Hqw z8Jiy1^FEbx)O{=+A@doe#e4q@x~I$kOxHTC@bnCN8eq@{LAcC6P6p~{P(;8p$PP$S zuf}`^^#YyGpt{!KJZSARrHJSzU{;4DPQ=?e85k9~Y=C4%38l^IU?h=xJBLDujKGyW zaJ}bp{*Z7^tN6q9x!fumZ^qfkC+B2EH ztJSnTRI^V{<*?=49oC#~Ld$jq+f8u^T5JPm4_Q>x?a3?-KC^w>sV~{R$#yJQx#Q{$y>_er4ws4;=yprW>vX0bqNJSD2&xWtwd@TeC`L$xCiGZ zGRtvQ`W8nm$>4~Av`8PjiLVgfV3m(?pCJ4-5?FzdVx%+Ha6)z5nM9p=tzIlSDsydo z9~S90r(OI!j`=w8%%51Qwv5dbX zX8-UN4q;tnt$?>%&^VfW+I>~az&uvz;5K-blLZmxt1~9l9#ZOB)q~XP#F})CX~Pr~ z>B|KjrId`N=pRSD`VH*6BLa!pMkiM~!peFiMtXHp4DaSGdfC4c35BnQq5!09!uuDr zqaKW8p}liwOUv6vC+i=c7N?u|-7xCI369BM3C5UhkTt=eg!PH^v?NVd%ZK1~XMn&+&4z6<|&r+-o!zJ}}} z=N+({OavI{JjkEXwKUro0(EBYA>pq2v_eKD#>c550etyfvpMxun6XXeEJr=d1{j~H zN8DlB_xN|Dc+9y3onO71SSLTE7&3zA>)lpQQXNM*#x|95*8jyzM?`!{>$cG`tj7kfc+&>Sau5Q8`cXVHNgPe&NtUbt-UUJ;J|T3$!%JQk~UkiGMOU zD<6a!^Q;@q#~J(VT=B-W&+%d+xZs;P90A4co4y|)1QI@+j%82wdy{HAZ>Ldo$vA=) ziJK{0uMTx< zJKYGXEmDSbu1QAk#et^Q&K78;m&3^Ic^15k*jD)dXQx}CUmc=z^RM@(nkXZ8S{k3= z3&aPT^Gh$>{cvY}U}i-I#S-4S!!TGI`>v7WP$i%V1E!Af4;b~!B zPCn#{e7|g(iG#bNr$~sM0m&lI_PxLCM_~`|%y!kvflhtdIa_n=_0aNW#+bi`l1sz8 z90!lc%DeVxu24$j>1W72Lh}i_5BJt za|%cEUYhkt3DV6o;FJq6>wfHi2Az;9J<)_~&a#9ymZE(awd2Zn`J8G5&HFx!tVt1Z zRESgV%jqZ z3X-#Cw(0eCr&{ZYyejx!Vv>1V^`sxBkj}84NQr=Wa^-Km%VRCYTpL)UTTgC^s>oyG z+XzLKDF}{^Hgq=onHkK;@f9-H`EO1I{NJ%EBB}aXcw@fyg>Zmf3{&&PscA=ITyT-& z&BZLPslT)-%3d8TiWB$Zt->=%IPFaTBx}It_TU*54H1tW4fY3LzT?hl;480_b3G6$ zo6^~gv%0Q7UwNQ^20+*lRPY6EFvxCxLdZ7IEqeZo>{r!vE5P^_rKGl+Aeb@HI~Hut*pN%Dk|%(sQs|8DtBxmeJvauIh&SdW=8 zZnFQain!3;sb0Q@eDm4s3ZN+qEhfL>q*ssQPYRG2Ri8gt?G2~ z|EHQ|{t_*W?QY#R%8jBGM8H0N3SdNk4Dd@ZStU>C3><8gSkw`i)Snf2gqZT9KLc&c zug9K<)k82Z4YCw5AX^Q{6}~}i`L#2xD6q3ts*)V({>NtprPLjztQxO;01h|0WbXQD z-~FjeE@xV69ZN!GW+pwuvdd4-6(_pUf~M8;mir_7gY1$CnGS~z2F3fMmsX2-Zy+my zO-HA4<6GS3R5+-B>o0|fizUApr_u@YiWkhX=S2nd0p(iMa<6`A3<=hgAGdq-3w$~5 zO^L={f4e1&MZIhXMIY#CTV$Y978f*;eAQ! zgxmcya|*wd_e;K85oqM`iPYUlL{q8vD=8yU3;gcO>^;(|066JRRk?tw#hrdn){Ei- zX}b^^N~Aq|-&Pg6W8e!Zo5|hx(yFm~ZZB)8@y663RbePncxQxd*Q_U(m~cILT8r;< z(n-wAi$+Pz(%0`@4xm$tr8LwJw~qX=W^MIUqG4XM;P>xj8p=)Mn;SLW=7+2q}@iI0cs{X^|`=Jt=*XH)@?uVce0{ zbG%TBQh&U_F=ou=#qbXkXss0P3hrtece2AhCnY_!f(L0W#b1bYugBXTq^L#ePz5&? zRt{f<8kpzn+s442ysCMVBS;zjlCdV-hui*@4gSM9g%ld zDkrzy(Y2yry^sVbUhqu_StU#&3>2biqh^5zB;f8VFj^83VAH{d0@;HG1lk8+bK$&G z8Ot$mw2g;d5SDw}KS`s4nSQdrowF%#gqcfqX zw>xvz%$w5%Uqa0q=AV4jJ~4N3YG7Dd{B_$dhzph-Cm|&BAtr$~y`dW3tB$P31rVJbE2JyQuH!vf%F(|gdo>)U64|6w> z6TTJlJL9u~p5Ag3@rE;(ghj01eb3dHFxDsx@#My-y5Av6+o@N0w1_8 zTDPa?zpps#)XY#33iCLOhdVr~HMIR?X2Pork|cNY6^+ngx$3nj951=PzypDvpJ70M z0$qbGQ4uQ>)1jd&8#Oa07Pn7aZ-1f^H<-?7*5~E3;l@r+er$%tdjWP$OhpUb;Uz2B>0gbLiuPS?9bnjPo*7$^Oi zjG4KPjr}^~fcRQ+5D9saXwW2hpIiMW@qtN$MEGkR*X|U3-`d+4Ws!sTgNu@tRJ}kY zZf#lAVPnP5I*B_kZ!>e&01l+utb3O5{)N@k{CLXP>)3tlMvE--qTw&qu&~RL}$c%bT~-tWSz?FOfo2-NnOQeo(JJK!=cXZHbVHlaa`xG_;#$X8tE(rijg$k=3xM1 z!H4Awyrar(hCReo{ur7G4|J>%J?N*^CL}|ymJO!Y-ntsn+n8BohS?6sUl}78s{!qIWky)Vu%@W4ET8(B|Zl$C6k~( zZ?Qe0@XPzKR;zwQn~E0+y8X<}+wd9rO&@PP7h6P5pm-ukr9u9Lx^JsvM)kh0$WB`# zd<2{hbTg8vozcN?y&ExOZjQw@&&*Em&OzGg?$AOpCK9uorq-F=zAArOY%+zm!34j< z-3BdZ2)hS3Tafj00fY$8%ics)BGR6FwgPJUn18>bP|(bfaN7U> zX!PKqJQXN-@IoFRv>-fK0pS(6pb#B=n@0qN07>CP;+tm_5)l0r+Z+TU`?Fs3?=N<6 zSw05@o)9_>`F8Mf5!0sH|XzcA_^p;Hx2P$L6SiroWDN@IiZ2Y3TRpW3itNk z0Lz3RkgcsFtAnMv@h69WUBKyOIK+Q>FaPf%ubIH#3SL8x@`5uYu)xQ(7!VhWNPm9k z94k7?U(HYPfwx&%zz>z^V6h59XfA>Oz?+m1{>u|%dnqoofFO9TQ~^3w;?HA!4kAcZ z4A4I$|0HcHD8OZfRL})d|0xWp{BDc^x=i|ivi?<|=FNW~N{R^owAdu`pRCX9NZ_Vo z2Ivmi|758b(Lg+qp+fh`{mIhjz=Sv=BP9Oqgv=abcRq;rdlm2R$EZ96kQA&{ibMRz z&k!646c73L{t4uw{0B&+90$?`ls^qDzWYxDQiX&>f4S25@3QOQu`({=zxLJt_w$_( zU;|Z3aCR965o8bPAp{xUL5BOk+Bf{uepopU@$Y?sVGtlz$fD#wfwD~g04+j*{@N4u z-#|8>{{S&o;1K`A7XR-<0&=m10Fi-VigAelvcvuFnrxjQK$PI(Qgq_qW^?|K(S`nP z)&Gy0dffli)L4Q;{Ffcef7cWW{OJ=?DGu1Sk`UU|4;)%aO!L1jx`IFucKWwNHx#UA zPXHdRK>t&cF9R%BNkjZwfNz0V%+!$lcS|gJV2ng8Flr?^xB}7=V+kbr2bYQeBPT(I z77{`6ZwE^$n68Qv99l&PJzECOgWSqPZs#k&rd8C8e^@va2vh=LDE};0UjqUe+ZZX^ z+t@m=8rj%?0%w$AOa6!XK)MGRtiK(4^?!pmu(kaiyHQ#G1q2;IASTF1`z3@hH2qHf Ee|h3MEdT%j delta 28683 zcmXVXV{{+S`*v)jL1Wu?(xkENq)8gv+}QrawryJt+t^NH+fTp0=f7`e_uMlt_UxH! zF5DZL1UY>IfvY47355j)0|o~M21W{&j-cuF3mgn=8o3^q2LdR>xB{n2Z-E(YIkU_M zW+*I`MQp!Wk~c(fJgoDNy4g%@M8&B=V>%e%6V@v%{{%l;rcgm8e|U45<1-78%*V`+ z4h@&@Z;aZ!PW3{_6#79f?mgWeCmD}GjE|V%1v63%LF@9(PU4L!wC{24+=S?B zOkRCRI_#-ytr0*nf)I3yYQ-dFz=)*npiS|!nT%>~lH_t5krO59Gar4C4iB-&Pw3At z)P}`qItsI#J;~V@K^ZL-(o<~%qZ04DV;8o7JZ6H;sHBFr=CNu>#SQ^(z4Iop1tb`@ z(4nEdBnsmd2KB807HpLn*m|T9daEu>BFp0mQS&uZ%1gkIb;{yoM=Q+e(-5vn^y=1? z7FstqF3}xZwd9Q7#Fr4TwbPSeNw_jV>`!&X2A}-}Gwgm_JZ4KrABh7U$D>|%ubf&1 zG?Uv6&qq79Fh}O)ylZ=WCYR?BcH+&6LZ+j99dnr%DcOTz%jSqbZVHeyM>aia%7nYm zrS?45*kFFUs_9avW$!&be%~>Ace))FE3wmNg9vlFM}ARHsNyCfHoc68N`!9q{J=l; zg=hqavV#Q8AufQb5vf4-unP!H*{!!E|GwuLRHHOJGdR#MGsJ=xdKq|$=G1CgSwoh2 zArUMHS8xyhgWopD5`)dL+1`FRd6h}_8ckq2Hy!Ai46fof>?Y%Ppo#g*A*&)IqFIvC zad6k{)7DlD)&09!={sa8B*_NWq4}w~(m)v5S&;;W9*5Jar~M`FU`3FD(GP|rhgsYu zL}IZeHhQ4K{SU;%oXha~e9#wm#fEBL*EPO7W>`evnoFLXzKwf95_L7#qQA>S^=cOu z+fGzwN9QU_VTgi9#SMR-jS7xVdysiR=uP>SGCZ?v4z3w9+}8uGkuvlx7p5LAK_bip z>!_bFpI}IPglv(HYEfa}5XA0wqakStzspAz?27L%iB{MI<%o?b_7&BmD=&g)0vBiK zC!ltX3<8J3f)`d5$}WNc1Iqx_Bhdr4{ys=ZDYLj|JPFu}nYtg_>=u&Wi?Vv;mw#zU`7Y=xXxWRUP z-(hj1*JCr?8`1_)63|KMBXnu(b}9E(-K79kDIt$SsPazcl;K-x4J5?(<*{fF?xZj zOd*5yS$uN5_Zo)-sm!;bbs0HdS8e4KF2ObGv)GbiGYlQX9#nXC9?}66G@cQQo>XE0 zE{mf{YF3JbhKd!Rmv%y}8jm;9%6z`(FHHlg%EDMqIpHwHBiUgXHA_VS(x-6|2a~ab zWYSc|SlF0B!P`2Ew=eRzF)sar#E`=`@H#@&aDv3h|L$~!3|MY%%!I^k^f$&yCr_BXyzY#9%3d!B!ACiFFO(UONXzl%}%dVXbL*MmB zGGB;~9(qIwveKv!obGlVH8ZBR{jaW|+wo21^^9IL<=a_3RSbdNb}bHbo3evDj)nGA zoQf4;(2gE9f<4|+?O#MNu!%HK4C+4!a$e>8^3lWoN-W?*tyP=C#@&r6)wY)Y(6YAg zCd@zL-#X%EMlIhm_t}%k@O4s_M8t_yxfC-x!K_yrpFSz3xj;q<$_3fJJ;93Afeb z{eGUTr)TMmkccaSlI{MfwsHpG;_1YC-W1LdXQg2>`! zUq8FS6Kn<%kO55aA&ima|1wEq7> zGoxl5Z=}6ah#)2f7f>=XrEpXj55v|ACBgV~Vm0{0V}&0IaGLroO7aVSJa^=Sguxzj zsXDX5Z=g%+XPFABS^i0vJj}!`QzPrK>nBoJL&Ry=#y#qi;t_Z0ue%-Tq@o|%FxPie zW-ko^5c$sVFOWPV~y{o#>Y1@!S6z$8+>%5TUY$TYGI`>Lm76I=}UJbmF?8c=j9 z?x-%j>6o?E&C`EI@{l;PmTt52x|FJ!x>-?9w;U4GUhrok4_yHxM;gy6orGZuOhWr+ z8_2T+544m%B;~38WZJ$6yDG-W8H4|34HMMytMPVC?5D94)0{m=#?(U%*$qnB++}CB zj~r~W5?i9^mc~nSPfW!cB7_OF5wl$sZ0RGKRKWX_!;_Rg*H;w78ikc*VF2M8rPBIK z`Ky|Pw!k@|;>P*4DXA%G5y?Ei6C9%|N#N`LIB=S9;D4I8#9yu6=>-b-P>BNi7l{44= z!L-tQ>I@#2(yO7@G|Pfj>Li|;ux6cZRPQQJ8$RGb1Rx@uBV6$e@{E5J4@Y;r=-dZ@ zV>#09k4+j0iWIM|L1F#GC4r=Y!>do@gOjVy!OGXkn9|}c*|q-44to%eW;aE!IO(YN z6QvyAhu@DJR!5J|>9-5da_CI3(M)m543P3~m$YGI3zR?Zr*ScloL>zE1ADT`31};wiuJFH1bxM_B5cTAGA}bb2xFGnq)6TLNw5 zt&UVu>1I=kLeVrdzR6n2o&-_43M1?V+~)rN{6aNa<>M_2SN$I2M#5oSL&`BU58UQ` zK}-3a@;glKD6U*b=Lt=|U{;r3SVv~bPlP?m&I>{?c{ZFadfh4C_81pAew2mmIr0H-U+``mZzG!*L#O!pD14Di99Pb&Ea_~@uiyg z^-|$DTl?*DN{Tb-&oxrL(7cta{k5*sS=B+4PJLIQ-6Y9L#*VszQ-ua7kfU-`n_dfo_uJ zeSkn*Lf~CoS&Gf4aYWGYyIo=UagBV5XF2bY0#KcmB2pC9QbWVZk zqfxI~N|YTQaE=OykQ>1Y^#Y?gIV2 zu$F38FER=HH-Tt!Y)~cgJp##_m&%E!0A!xzvUa3#${+0+yvWH_Kz&xq6TAqjDr1`%R} z>c4exlGE!L$~5)3l_c_sSPiQc7&9fev*Pd^GtB}SASeYmPN0f+ruWWz-b`qlDy_T&d1m0>HL`CuWD;oJl3%dWs+3*E{5XwbLXZ zUoAD9f{wQG%PvkswbOCWGETa}ANz=zR-4}$e2OD$A|wn#fxI=kFYQu@W;%gZtzn%4 zB)ku}J65?dIprN-)AU1q&{CHDIz_CJ8!9-4jI(wFrucCL)j~>mB_FXTw6f50_} z?#+Wzg>^-IbaZ8MAcN@#w;?g{A;w4>1*R-vT#-bo+~Voy9TC*uTHXs|F=~ZgrVB1Y zlt-7MdQ>`Bfv+bdhvJc)7_L$>d|bVcs4P+*t~sswn6doTmPJM1jMtqRXO06Rkv+d8wbwxkqi>SC@h3!UAD z41twy>CeygtawfPh6XJG42B96-7tx|zqnjo_>tH$kBGyequC+5)&aXO&(vUZ&9Bvp zrq)^9XXrZTf^#AnQQTGmF3}=7wd?eC=QTNwt{l16KNFdT`m&=$Y!@0$f)%?R?%;e% zWTht-0QQC#)7cS+_Pt+4MBlQ!u_4fv7dhzrVhIBry5*7l57~zyP|$V4y9BKx%@#Er zuc#9t#^|toXJI7EaPr+F=E4P(})dd6|kT#4GxS5^OrrD z)2EooUkZAjI>-F+I(5b@UgNiv+8|OY_Bp2M2haxDOt-OWqrq!X0zSU_ylt*89-g56 zsupBMnaV!7T^8QjRNi^=ePl>`KrFe?z4Iy$`IO;Im6HrQFFgih9hjFYq?TSDyuI*p z%kZ;^thP(AMz^B;?gla-TGE`Z4xiQ$?$lQ>j&ohp_kXqZ>F<8<%uRI5C5iUdcia4y z3iO(Sv-vARqMv|LHc_))rL*=+Z~kgq>tU@}mKIJN9#Vs7&@6LMqCh0sE)-4$Bxk0_ zaZ09^NmztO5UuRjg+*p%17M-iJ8WvQlBv}%3^=!DW;MG3-|ZQMURFx^krkdB$gl)` z>7x=8SRv#q`PSGe`f)Wk$aZ}}rah$XwGwnGG7~k+k~wnx*6=ubD4qM*Re&^W8E>b zxv41p@oFf%k0Zf$uXf(l1_v;CM>R|`!vvA(iBIHtq#h_&_+^?o;U%3^W}%j_wP{u} zfxsd9h#7)-&f8yZXzv?W7*GmN8M^epTUvfiP{v5AI2eiJN_Ud)<&c9#*BS%}IrkrA*oEdk3~7(`%2jd2y@M zb2Y>IhX>`D?o07AA01Xy2Y~rc*cZJ!C9ixzJTCLW;V?$C!C?>CV^P-t(bEDiwHD{h zLG(8KnNk`xkdR^l;-#uvrb1yk_qb_=!>;ll=Z)8Dn#$PC@u!BzCDXuA7Xi}u2)+|c z7K-#%X652XYH-j*o*Ta^{`$4u`^p z+ME+}6*pX<=RP00R@jsyJ#Z+9u&k(TgKEz5ELAk+8l|%+N>rs!HY(Fg!!uf<7$Qnv zByS~Th4Cq8RjUmi#$heSDOx8USLSmHMc!9-%IEMiD@$RkHO^Wepj~onf_@m&W5*4< zYINvGTNT-w0aZd1qZAGA?^sjw0`$COYkLa{Fvewft8PtNP;(ZBVRAtocXF;3c59q< z-L`Ad^!7j9xKu%^nlP{QOPrI*jZNiU(Q|Tk)+ClSHWRww+Wq@D2ZJn`LML8^^QE&E z+Ej%MtVw7^J7lU1*1?sbdP&?J8PAEQ0L-Y0x(tLo@Mbyt`OYoz_BjWq;dEP4&wyO* zG2B2vsT{vp`1ZZ;$%~2$Jg9Qc5OT8bPFa%?$nwGUdi%2s9{}4h^k5 zU+*&HsgkkQhBv;iTVyXZr5~yOePaR6fCr)TOpNSU&vCwCpO44gEj!f}H&&wc=G|3yiGc+tp=KHCWL8u z7Jj028+BQA<^3HnoO^4z8yA@_bZTXM*w4?BlRHc$k?tasiIS_~17b;5fYthrOw+HD z)={QWPT3|2gC2uD^Y1@kJqccai1Sj6H84{WG@iHPp&`uP`?GIvkRc^nyrgI(DyIK@ z5TO4-i0$J|&c6PZ5_-Rh1XPX{R`a}Z<-%9_Hgn$BbXdjZ8T6BuE_#bKF~A!Z8*G`! zw-@816X*M`xE-25=OFzY8IK2TGQj`c+J*DDm)O@z&Fg_S40^1Py>jq)4M*I5{jv$B zDkDFE+yyP7_sYoU(JNArbL+v_c)Z!w(hBWR5AHD7FazdL^P4g25P&f8&vx!KgE zdAY(>hn{V9fCu!2f*T8%&KQfZ1eP_%lO7)Ry30~aQ#{P`o_V(dGT`2$!F+nnpWi=yO5yFRtSB)@{WD+%FmC#jC> zyWnHSLKz??&}8Bro%V`3{hoj>Rh*4F`*k$qZjW~On&|)ggMy@q8oo^CzWm}LgM#D} zPnD$}42cB?=tpzxXrUHofhp4^=)jts8v|br6Ve+!bt^#S2EeERN#CPMskyWpnjgWOay9JKLnCI8%q~KI<52c@fIJ=>tBhWaH6V<7 z6W1R~wOzGd0Y9zE!4LlW@0kMrR?x@1*|j zxRuuZAUwOZve$#nVPCH(A&jl;2Yb-dU0D6YB<36Zq|7jcavvjQR$ zj38<$SJ1}qn8<@DoS)Q5Bq9Q>(a*W%I$<@k=OHWIu39s@?WfYwUB3i`968RIm%x$9 zt+VT6izPmb8`gYMTWW za!tdMl2EDT2}od^OjPD~{^TCMp-4P$yy~mt>oRo|lpmJ3vT-6Cn9Cc?YdYWVv5C%e z@TD($>Tq%9W-fKHZ{>cxOmw;}CO>O?ZP*ln3!T^jDbrKUN#{0f#|@mdvlm5{p2&|H zF=Z<7sk>H*QFn+>HRBP2*&QG{Ml0tuW|Z`;Dh-K|LaH^ju9R-f{t30f4?F#)jiYM8 zAzgY8Si8Hk*Tj8S#LAGqF*8!xi=}5LbNp2Uo1Iv*95J{CiS)0oI{kfyMmnaJmKNTS zWIq{zX9cUq8hwOm_1eu3rd0`V+Pkyc^J11rJI=Dt62q7cmloX! znPxOX6K-zOh@}(vf)SD6g!`bCbBmT9k0CDI(Ks!|5m>nk0k!H1oJR-VhqkQlys|t? zQS)qqVq0-m?p~@0LLNskB?v+B5^zybIb0dQM$TkSR_tr%*1XL`wprk5@O#2zd1zS- zl+Hy^m8Jw$Mve9_P*pjM&vyjUoiBJ8H7>yYdAwKtOuVdCaJZz2I3Ye6bDblaJb55_ z{GTwWp}1;;Y18mNv`AJ?eeXrnT>e5k5?8u8Un+Zsm%EABKX@<&Xl^Ulr){OtrvQ9tq0It2kg8XsQCJj_S0nN- zm7=H2o4PK1?VnKzdwRvehB2Y94XhppQhVQ4rJ0brt(L9( zqE!CCYI^Uu`lUzS&3rX?jhLQ+X|7HI_+;iQazinNgH@pq8syk}?8XU-mhH3WEYrjj zBq8_tamgVRzjIuX?=LSu`izwD)Wyw|7Z{_e#%b^fLc$ym7{Y7$I)op#mBaqHREhOCWEwD(@lK|fMCItiDxcTiLV(9cCfc*Hz;gzXZ+(Mziz#u@e4io?jlZUO%WU8+H zKjiS=f;|(4TV8?>=lHUpSZi8(eX`X zU!sWS=18x{S*pjcv69|)!o#p-N^i7QPTxd+^NX1?@6r|{`~Im8vxVyK3fpD9twsaD z`R0_lwo}^>yVPaNE*OAe%kcYt_@WbT_Y>E*=O^d6VKbri_FBaOC-&7ib4=h|97$&l z(gcToVToB`-03sx>DofYr1tFJ%nZ&SXam|3&_`Rf6E(R-uA@??Jg1`3I=;G;^_P7* z4$)c{F7i`*y14x>@M$^B6=K#F$dD5%YI2aUmO%eLTWNBODL;^3a=($tPUSuI;J(S& zfmoxFYs=iG@kM2oMuK|;b&unI)kb}^t?|w2WOl`F*m@;UvuQ&wZ2SCRF5V=h_KFsnD~)O6x>Gv_s@69TZlnf7aAIxKhN>~~ChuC>x%)7hUUq`>oq zGgF!P6nEt6ixu=q6YhsWk?)%0hO~qvV49|c1@$$6_O0%qXoQ=`2~0SA?)tD2diZT` zQM(tL&{*-dN&T1DL(9fnbmQXTkE3#n~b3}QJ^-uTht5-PK_inZ&~mDwvrE2X%v;BfS`041nWq|@#Ea3 zf5upLb}Y(qQVvLEWN5hcxQ?37jxy3s`A=5$S_PPTRjNYto-uu7BA7OKpO`}y2{A0I zOd(6X53uGkxJ`{lzE^9_$eiznEFn|T%FpnD9&LILOB+32hm^M-Rsn*usXPt5#x*^b zZ!v|!)_G@af$_UQ&^!@l^69_%Vr4trQpG1$aPJ#2;rc5M#{w`kTC{7^B4$h=Ag$LE zq`4|*Pm;sSQ0lj%EP0>BoMZpS@4|@c*^}vy4{((w1PeuGktmSOa5KJPVl?`Tp9u$0 zHtERI^4b(Ds;p`{oG2~6 z0k}yimQ~r`{8%Q9t`myW*>$*P(F$5Y<(T?55o-qGWTB=KuAu!S4wH^DB}c~@jN$!%Lp*ZcrM|{`*QU&Pevpx zS%R?d&sX-(<)gDA{zMf`CpMJdHvZDzR5Zx`e3_VVTTES%a9Uyr0mpR}mYt?TBwbx` zSuKs~l6k?A&s@6N>N>6v?=x}dpX%6$G}1gsN-N>4PAted`q&_S&wTEZx~&7GQ`HTk zz>6j(#6>>d3oKsIs|BH|&u@r~)GptXhV&5&ZKLjf3bLwcwFbfn@P;LnE}8wDmxe~x zl%Pw|xAUPH8h}gGAS+Mn={~;ETRd5~)c4*naIH8!IH_QdloPWI|E2bsKR9pFt&)7K zxnVzQ#il#cDU-rNKJsD%F|+~v+A6o1SQ?ND8*y37VA>Qw%Va8mEhybXEa7KPF2w&A zvlFnP9G0M&*^Nc0c%#QXT?ryp zI-3b!EAkR%vH@plw`LMh_f`Lqt&}qJ8NB^r@3Hp97n9_apHTO?@Wu6axm;1}KBt$r zVAr=SShIv4ZgG=+ZPMr^Y37@0C1=RLkR%umc_R7yu5QUL5tBw>m9j3L`5=phrxC~U zd_UcG7qyGFl-hBMDIEE9QH=fe(=;9p+|Vo%tM)HH2u$`zbE4{iuOrdQyC4$2?$~}B zGoe%4yB({)%lTRpcp8-CK8Dj@S|aAt64`^ytdY^f#-3S`zpBsb(~Q!eAi05Qt9PfQ z)=iZgY$dc+Br+x$gv0Kjo8u@3S_pIuJF}X=?)@4=&Vl;lVG|Wr&RD%IBI1mrRA3>} z19^ld8M_DXk0AjG=;(?-sH7Mxf+f-5|K0>|O8;^3zXG4GNQ4^?t@nSEI{5v+wB|}h zihC|w5Iahe)AQ9gc7N6>HcX)~YyPc~o;|cn6bQFX#f+T%9fgJn{~ZNh46C!{c-CJ_ z*&=6?qL@-1D>UpH;>=L!w$ zJ|9&ZlRcp3owa*c;&s;ig2W6Naz`&@(ks&YOD?8u!Ap9(BjxU8KQ$z1J`DL9r&HNs z4$Of83qiAE1Wa#MO|hdpdGgynw&U5s4RFu%Ey_mTJrjfRFGBAeenMmZa>{C+dg}w- zyHhGOOs%uCU!4sj@+LuEg(8k9U$MB*<(fN_zwA4VpKst(;&R6%J=VZIH}*oKFOQPP z6)dycE^i0Hc`9%BG1-Upp;8B!B zkWF~d$zYaDhsf3>Yiviy9C;5?&R0lHz6zgEwsM-fmm&K;zx~5pp-*o$RF&Z;TbC?z zKz+{X#&?Mg$s-2>|$tykH~aL?)-_LdKq#gD^f0seLLHtRnO+@D1SsWm1c@kh@ zITI)Fxb95@1svL3KFj!0+9tCCt?N+sxn_F`?$;20FgA#_N@k-Lz8DQOuCsj;0a*!z0MwP;2%7qWTV(=c zc^2pNSD};X%?)xCCt1&S)l9u_m9W6A=f*_H`hr}q%SrwM8d?IMX8DB9oh7TdcFu^a zx(^oxx0bf_p2?;x6;ikGK9`g-49ZU{+A2n7=Y&r`>Zd`<->WilF^ocPZx|K7$hfGtX}}71mGYA zXjj#(WdGDU>C3qSvO?oy;@@m&*II2FPi8xa8V+TdoNIM2U=a^-lm^vwj%^}`qY&&p zUz}X)#GU+yte&g-Ob!XsotS=6ddE9V>nieO{FDv_!R;^v1(S1$itcOEP}pUKa>c$c zd%y4M$?!b9L8t8BtI(DtIy?cqM3jiB4uQWHVG>R~@(IaoBxuP{q|se{h=={ezi~?w z$Yie_bEt^1m@U**b!a2H)oYZ-yp|!4;rf#AWgMLA$4;nz9fX0?BfO}GBs2h`pJ_#hTKbWxDH!>qy-uTCaApWnSGy|>Kwn3BplR`vMA)s z?Yo5_9ezO^3PuHdP!hEhQF6&Q^ueQF*P(uYb>MjLZG#T2+4J(-rw(3UC^Q&ufj&oJ z{I=Em{yy<&|4GmcbNth)!(RSlsT-YI=G#mxWF*Z#Q`W;bVu|#_f=O4n2urkKg$jog z7SF&r0vOc7vOUAJBah&rzome@?j#$|)9Yw7G6&q}sTB?HGm+gwfz_jh*Z zm9t6HhpC5K%Zw@J#fC+4ih&NT<-VojkL+Vk$NVZEt;xsA=f6{oEzeZ#!(Lp3Ec`rb zlAJv5>;!Bf>=a^$qfd_OmA?wB8E_s-IVjg+;?)gBgGtE0%EaigtOfZ& z%40!LKPTg~WgrrngyYh|4om+8vwwvoW@llYbsx3;=xNRPf;$I=3T2>;RCQ9n7TqzP z%<+sbANKmQVdkmY#UxG%7{v=8N)wr0Kb;RtLxIUqkz%~f{yK!RyrHLm)zUWKIb#GR z)=0J0bcLIPoTgr@angYCv!~LbRKDVNr9x*#xR$UfMXTq7BX7lGp&rm zrh-lXhj`Ftb?Dx_vR?ADw`_9?jf`3Mv=?QOS&nF#C|ChFtPD~lU=cYd_j5G}ysG^7 zuj?ambFpkO4&|+CQ+JgcD{rnW>74Gg`cViO2=VoB(nwm#^({9`+y>5@W`um^<_8DS^mL6A=~ zf(5N0u5vOYa4Yz!Iu-cpau_ z6O;V*`!H^#_Ru%`b{AfEVa09_aMOy0FP5G?$-7e;cubEN1QaIs&5`s8oSHE;d}O#N zBJn2<%=}lt4$6+U1nq*T;+qk?7YJ&uW#L2^i`4gmtVlKshV%jLkVwMiI0!ENuy8rx zqz^BAc36>lfODR@DtNmsHG$?%};sLj}OmpOhB1gG$qP9(oM$5ALWgyQbzB+C{5re3rtCO~+%T zP3CR}HqR{m)=$99nS92MRHh|HgdC4=erPnE_I!=^3fQw;8!uc}&lpo0waXxFkOz=6O(m zq83GOAduUJ9BX7S`FV&p@UAv%IZ@CMQPu?2HD-d3xyA3vK*KYVEj#KpKsvBhd6N5?E&#LfK?%RK>9BtM z!r!b@U!WT=T?Bd2*bUNkf-=~7#E8Jk``)7>0k)XkpkNx2q=Ci|zWms*o#4mXNw=Et zA1c^%=pZ&|esXqfy=N6M_&CjijKgfnbMk}Y0`%0~8l#hL>u1FXULG}y#5pa?cej;L zi7fd@fo@iDvpNQx@4)C!x;qgsBZ*ZzbPWxybbSheXIGOdhN`$jeK2cm6hav6^g#(R zE(x;h<0Uwu2mEv+frno%Rx1X$x=FvsZr;aQAWlN-2@~Kc`zMyd#r63p*k3pR5m74wNTM4Jy5puaw&5Ue(DUizACx$`e~KE`YC^PXt_kzh)~YqXVn8 zuc4xz*1pt!;RQNGC4$3{M;0QZhCz(8)LN5=arkeWdfzyg#3Poo<9^jU#|TrZhLLO` zeB0ONKneJ%m#T%UKrTnVulReyS)M8n^#jU25$iVASq zW-KL?$w!@+BHm-_=-0h_ma2|8+%mfs$(d1{hQ&b3jRiwL%@PQ!)z~In3;__US!GAL zpi@tD#oNNd%}NY>rQ%{=vf%`ez=)fyHT;;9@jmunxY?nc&0kMNIp}erK`jl!-(}pd zxuiKX1mB-#CH?pTbw!At%HkdlV%)7;rDXd{J(dgKj6Yd+J-t<{_3yXd{ zkfids$G_^1!4IH*!Yo!sEl00R!2UDf31l!&|;n z!zM!e`A&8E6S)dWM?re=2hri0N<1e5dku3llYa*o?x$NboikDEGf?t3h9D5mz)WT5 za>;B-@lS_IfotF+UG#MiqV%SlRkPp>u%m6PJECN>fYvlKzj|w?t|hNg89+JH+*yp= z&SL+1A>&ZYFe?I)$xMum+Q zH==HWTP0xb6}=@z4-muLzFYM8-TK@1C=k`<$YV~TP%TvA_xW}ca4;TLBw@F@L?XrB z8YqNw73eJ!&tZkwC1S)^5RoWIiKL+s7r`|`{oxQ>el64j3g!`Un2?rnpYWtXDLl z!g?y_oJfyB{QsQt@PC|AgS7;n2yXp9PWdkx*iGcqF5I}9b$K}Ui?D`8=YC++KWnlZP=fOFKUhD0zd3gpJsuYd&=oT~xPJ5QgA~HaB8j?l5QVERP zw3~_43?jI05Hvu3czS=x`rjJBf64jS@`4-rX6HttP!pze;JMz3inr>=UDzZR>w7lc z-z_yd(+ag+xf}ju1fhEw%6vN!05i-VtPwXJi@(nt9z5cNiZ%-4gh$b^9BY;2ahs z4V#(vZBy4K+{aIHuGQk#N@yZ?bU!UR6~4NiqQQjPKEj!aLQwe0Fqo=Odc zn)Kt_`J3Q>T(mply_ip|-L<}aYh%ve`vf13R;3g4inp>l1zEmns~Tt0K| z=iBkbVeiKh`xwU@V4?aUZ=a-!`$80{1t%t@BJv|QkmO!3z9TK3#`J_K%YxT6-iNZZ z9bZ5}LjN@&s)lijIOaEAh$#ZnnaF;*H(vG=(R_nsC1u@wx{~czN`rqqwE7-%DUe`fzbVT`>Zl|TG1hK0uZCyYUaTJZmzH(dWq`g=mOZnwUXtnd8V#whB= zHW;oAs3Lu!e8e>T8Pk%=@BcY(2fo9r z?eM5Jb9uJ?;}zX$nZz?}XldV>Z(1g;7iZhs3%j2`t`2iIT^oCt;%*3a5x=P$Z*tRzx{;o~QTPe}p?eVp519YB#v}WARAvSA`8C_OWjsjce0=i6GkZUFZBIgk)8eCPWFwZ@ zI4;8{Ds%yxeDr7-YqA1JMu9ASED`=$LXhzF`hjF|Xn}^H zFih$I(Oz;~6$b7kxW8#Yt%ob3=&JM_M~K6x;NXspD}EXCqqJ{jUUOp7#G-^dLXFRo zL2ptPX={G^zDv7@k-b0ImQGoSPJHl1%bv>$~vZ=I@uz7k zUukb0S7p;Y4j)2E>E-~^p>%gQNOwzjC=!AfsFXB^21!M_L%JKJL%LJC^ZX9p&*ObR z_xJtpT|NtE=gjW4JG(nGTr)ds5!Qt5s(f-VOe#5t*?$y}Ku(P@2;Oc zdEPI;-j5~fi#bVbHC^onIIiLa#MBLsOobACG)5Hj|y9pexx`4thQav z0mtdy?qajg&Gk@dv3OnLyGf<{sVZ!G2?@+jI5p^Fj@rx~ESaRu_XF;15%Pb%BzxKv zG^WI}FD9$j&BAz~`xR;>{ua>|QUG}@1^3zqQ-!ogtiP%n)j#0)<8%9a%7Yg?BndJU zjW6fN8Kr`Xr;K9vUiH4z8#~X)JCdN7ybczvK zyKWOCIN3HfWN&Ecg-E0s#JZDL9XtE7EAMQnzuf$Zmqhn@Lrq`l z`syt4YW-z&R~x{(s>SlD8X-@bpo-((DoK0LPdi+^z-~m2NchG|ZqJ=oXVy60TE{wJ zz4yulR}!;$>XLjqC*M@g1|W1mF2ZGMG5^2D>r=BbGWfuggIt7o>3 zUqaxw=wIaAg}h6=Hz;(s_O`$791geA2^G*OntQUkV@d_c*K0RxxGNb}$;^?3)yS@{ z_okH{aZGc13mBT#y;7^nXZc>=FW&Cdv<-Sbxe=rFZvz|I5BZ&0E$f@NC#CWvUzksY&I@Ok45LWZ}

(V3}66J<0 z_}TF*z!1xl* z&YIrZR0Ik(gGk$|QeQi+N(Y`OUQ1X3>vyd06l%BazSVhTuRD~WHs5Zx+(=-$H~DbHSfZx zA+6DkcrPKg}v_HzcFma}IfCJuM`^u4&;48n zsF{iNaxBXYCR(2;cE#c%;BQfsfNyhs^Zp7E6QO5bz41yWciVWpG#%Gx5S9}B(#tNtZtzsK4@Eu8J(rKZ98HpAawuD)?!=14 zgdIzb`Yw$*m)EW(6%!Bt0yz}}D`-s{Qmy3$w*g_(=67%kbpFTEVwK+nE*t?u;rG*dyolC+L2Yf{8VP4pJ?H*+0r3oqP50D-j8 zVa!#Y=P!@9S*JN3SZEcrQ!>P}a+?Knl!BAFzk|}5%-(*FU<5XvXQghm6FwsHsndVqU=*n=h zJ{{92QHIfoQ~qFgBU1nmT~HQpy~#&J6paJ~$m|t-@>>dfhSNPdzqQ&3sw$xfp?X@g z=jV65drMK&>*SM1|IJN>uLohTFI!-ZI?Owoc}Ki>y=>z;Q%6()9q!Y(l5cH;G{t0g zpIh9x)=_WN=hl7&l7s1|wQQ$XhqfOGKcSr8|IjnY4n4_x+8FT)qc6D@ zxU?3SONxk)m={rR`n{nIvsJA6P8#tdw2tvJEObvW|!{! z#Bw`NtPchUb_OC}WDjg~7zEx*Bn?P^ZXQ!Ies*twLnjlks64B# z_E3*_)}543b};>#C#)f8vHHVS;zu3V-SPLn@2l!3kJvCiM{qYbc7!H$V9q*f9Qov) zlO3!B4Z%yykSJ)SeOGTGPm@KJ+968($Ou$fK20X~Jje1*c6QWh1@w4IB&e@I*}y<} zw?(Wfh~vfT+t%9Tv!KP!@5Chm=Z!*!Xa#v%zi`Y2`!PdP-tA#}uLz+wb8A!!I7xq# zvGI2|oXr@Q7bde@m9^EXD66Z{t}n3mDKI($!fMQUT*w{r^OGTO^&_nNdXv^B-TRrE zNN1AK6uITUy=Iq(%o%gp-ze&Nb1^8qS4gCM&nRB`6opAxl%*keKuGj9KWpAa3#Hio zDmx87pow^R?l9!Lkw%CaN%e6dNnHRA0_oOHjI>VN6(OOuo@!lbZF*fW4POA4i=V;@ zuxV^;YN!g`9mSJd2&)5IZ?}%3Q~%&HMxW^J-9f@qu@$!W+GIy7>~gJX(Nes$_YG9g zt=9_if4cuXz;zPxmX<($EgIuY-q9N&o2Oh$us2+&%CI?Xr|gl8dzCB6rP&H?Pp7Sa zunKCf;3rjruUsg{bKmmyA$Y-zDG>>Sz@G78LF2eS>M&R=h&t1GWc|_Fd~e};Vem$%Q&UQ%9+5hEq?8ngygQ$iy(0V%pxCER-mkOCfet7?E(~Fq zeX=F~ChgzG7K)W=?6*SI$&g9=LwJ$d1+PiuqXDB8Ds+YuPMGUy@-zi##Xe6Z?D=&{ zbzZG|?ST_H`x5jN{n9Je42SYppbM6zafl5`Pzy-eHRiD;Shi#cWC&@u6|$Y3KQ$R5 zNM%r#U=3x=VqwJYg&=>mL?%>N!i^c>8@!cR=BismdGU;`DJ;wNvZIra>$<+X6HN|j zGCveSpHLP%f}qt(f4@Qt*+G-u)S)xop+UgL(3CSua4biSQlNgJK6hp?XyNL&2^kT& z@iGeHZ)XMEvX`v2XDcpbORASF78Z=^9u>1DUwgi6{^9QW_ zF;<>;b+ndz0pX=@zCW%L-s!*PYIKTk14Yz{Dn!!5`~kDKD~AXg6mE2s`|U5C7hY>I zEtS_NZx~%;e=l&eOUV%|8qG=zyQ`PH<~{L>KFS4pylPHKAd^@fBX4$n zp0#QybGCC){JCoL^Nx45z(E*Qf+lsRg}^=Re6wYvdh6?gn>dWI?6Zaf3pCD`g^~2C z-|epe!MKY{eWIT(ob~l7%nN%hR-v9q-uV=4^PaijiKw~u0Be$3X62RB&o9LN z3#fLJo039x^fba1M&5sz(YGipQo6uJaTRL=hNVLKUrO1mQ4+|CSm5)k4dg23x^wCK5xc^z#ZdRA%Lz`vQ7+U(kywh7$q2Aa(yhv?erF7wSKePU_toUiy%6;{c^vE z)Zkv^ST8Z(3@mdwO_hO?G`L1`ir7{Z_mZa4aYJDm7r7=LS6MDZUoBnid(}qR$C$mt zETL*PTZySmmFIm!#*Iyb24s6hYD|~H&a|ux21S15eCJrM@BkY2tR({*yLc0syhk|# zSZe5?sMd3-a8mWIM881btEipdj)bj+@kYB%(t+tzg|J1E2v~qUM9q&;n(_=`D1-`( zcqs$;^kgPx<$9_cG7H{2F={d&eBplHEn^Z=x@PNJAm;kg;1GnUu{SS|=H_Xk#(SKF zOKJ^uj?XBd1z;A{*oz$G&j~DTe8({@XG$MGPa|S?!M!vi%Ic|+6r?2gpMYLT^AXNx zv^6K#BE8BD_`d0K#w_7eyrW@sr>D0z$7i6OU_jzxQui1>Y+mZ!BUJXxVAawuPa|;g zxQnmae2IaliJtzVq4RS79h6_zTM=MzWur7} zxy9;Me^De&-m{JDM4ppXebrN6%{WeP(+>`)R9G(?Mr?oD(YC|Kt5v&wuFcHJk2@Sc zKU?WrqmJ*EH{SJBvqqnB1kM{N+I(tpPUZf$%l2>Uk5MZsWM8TU4;2=f@*z=zzT3Ew z#^HiMakv%kVdL-s11KvyE_f#2MR!#s75DBLswnQ47!KbQ^+;3-4zYaxZtw+Z;HzPH zZ&f5o=~s@cXv*snc1i+|4S4uB$DCxx!(Qjn9C5Mra>!%kG--x?4CQFqqj4hAh;r=& zy~fiKrubB3rAJJt?)ZVmH^g5km#;5u)pL8x_leX!dv$mqu;nf-al?BT7M&(cDEvYc zL5z$WKg4{6i1k1^-?x^w7qQn#3l5a(JX@3T%lDZ)V7|hU1j`x1wv! z%VIbqYF>ac6eOH}Y;u_W|G#BM5t}tJ!|&#ii5PtJHKTrXS)X9k{of4F`0iHp0Qi+t z4lHvIH*-#X5`8rxmGC|HJcXZWa; zv!&0xSz}AkRSYMR$Y$@!K-prafPvJQ;#$m&ra66NZlTJh!94*Klzt_8NUn02E2PU> z*^Fk_rq$d8Q|U=B-t?8>d`Tj;MFN}GuDRH6k^$yg_dPZ8P2C>e#OJXUp#zqricZwR zeBIzRe-o9s^;p-~b%CM+k#GPaLMS@Ku4n1}y7WjT$wU(h8l+Hwg4z}jYi^;SUMR@# z7zm(%6_}wQ(PLmqD1F(4wxol(=i{Y)2kO8LPYS|u#MclcqWf=QlMA6mPwe;;^^Ae( z#961=X>`lRsd1e$aO5LqyssA}$09Ec^^!V`+9+N@L2HqvT)r=-jK|EH%cJ@oS@yy- zi(NWZkmgA04TIWDuIR6I?y8`mkrE?c9~rvjY~$Cf<&M4scN&lMb;FpM#un6+Z%56f z@pSlS@YJM1`06*><)h~*{ke`>{**wDOK2Bo@9Ou0*S%Eo?2Y(GV=g}!5IFB~1_{l8 zJ$p7kc*7r=GLqPkG(PRyaOon?A-Q3Pz2f5isb3c~!CwNDK3$$ZOCGKpds!E0eO)Q) zrOidzR3AGPd+Su5HaVqY-Qcx1BS9UIEsY%|VjhRU-?KZ0zh%mH*!hxL!C4l#NE2}k z%kyv5>`>Q^>dUZbu*LvnoI;L_3dspXKS#cZ|+= z&5Wl)&UI;OMBgH;&v|xFMcB6wa$M{3i>o^?G@TyABqdle>s5v623Jj; z#6o6nMJ|uCzvM~rseuRDQ>}^CVEci8$b0H=zw~;dUg2bB&dYs z(N?+xSk9KuMSU6{bKU}-qW5@HAOZ0O#btq{-$04ulrWIud4jXw;P`6kRkK?6t4oudnGp&h98P^3Zu1r2=Omf#GY;LjJw+@e5rA4Zn$B>GY|TT$~MDDyA>DuT20!* z>0wVjWc3(MS6>=Onol7lY{=_gv=w7p&jK%#mPnx>Y~~8vaz&TrCeM8q5h;+1Ci%zB z^o-(T(JK^S4wxc>f==lRw5BAng_yOp)3#t|g11+56)|;e-z>h`d>iS1eK+GT9R8){ zHI7_(_F3jSghU{>%2t&ZJ6(P^+4e99;E#85a&ru+Es4_RzfuE3jJ%V+yv$ zQ|IT53p=77x47%g6JYoe1;85$qHcG9O+^gaEZ{}H0Lr5(ySqMp+<5%yS$~)L&T6WW zf$j7K$3Qk_Y+j+@yR#CH*|qurY1Zo=U=a%XsjR4`_}?XB?79~-B8>-9``-))Zr-JY zf&eJUlABicPeu9Pi;ZYzWl(rP`%q?m?LA6R%FYi!vZ85JD?3J%W6=h? z)zJ|TIjFWJ>gJtR(RnYh*$3S0h~6_5sVUq`mg(%l1m{pJ6m&5T+-ga>=F2+)!+B%- zseSjlGcQ-)ySd%*6`>Y*-PaA>*8{)8mGy5>u7hS_qBNZm3OdMzf-dt#ezM+PsX#%# zvQSW`R(|Kv<1)JB4B6*AYxuMq;q!8omu+E@wW*`cQG=M(im+{=0R=6p!FHvlZ@J0U zG3%$l2JZi^HZ>!AD2YS?46!aX2G>y ztW?^E96gP^QD5pgV%j%3t23*ka0i0j8mzZCyhn}1c15e6U%;Jz2RP(ag*tZxA{srp zc6}u#_sSj(aFV~EcA3Y(RFUj-onTEXn-}1?gelKtVT*d3KDzq~tvmbl+zKug{R> zhx&I0eix_{?kq$PprBtlUvk&~t_PDt9d%)KDnVzY)9^_}OI}!fJw3$4C9uU1u)IQX zwQddty-R_Sv&8sl=r*Ko>dspp3d%^nk~AFKuM(u78&icSC%p058fNdpBob8xFkd|T z<)*|;a%#;~s&1Q}?5Yij;(zQI4L~hMDRSKLmP#k$-sWatm8-^)#EV9rFqDyurHPw= zFVp&AmVUAjChZT8FmI2`prB?L$LrJyW)1XF-+h|Ug~6fA82aL)nfz+5kbktn40HHK zy3^5u9djPLLt!LNrdmX*`*oWA)}%>593-n5^F)M2ugeVIJp^!u4V!wW8DV$qGN`Ru zAZ{}r^23lfo~KwUESp%Qt)`~n$@zMCp(y_~sxwI?;Uo2( zeZ+3s=1EbK5ZsrZ=mHtB@cx_$X(svJRDzt$7iZ-&tYNwhh zJnjX*O>`m3{4pxAS>!9C7?7>~@f%+r7i_C9^JTMjECa5Z+atM4sovfdQ_ahsmmm0! zf5CJf!-rYTFTFLJG8<E_$*^!dr#ezQJiUIl6ORvx#I zMUzQxm_|uYTn?HiPwE97dg9MtYP9i)P{`JO>U1dCmvJHxOrkS9YGLsOZ6bVqTiUXm zQd6b~Jdl6Q&T4w=S)o$O)w}R?BTti##TjzUib-zXPY6%xWK<GTB7loM{-zPQw;)I!p;aNQAMBpp_x*AS`8$bR}V-vLym z6F9sDpA35ht}l2)+Wfr7mDO{qx|b0zGyp&$tEJpoA;riM{ZoJr(MiVD@XW{lE`oEdEPmukPWHI4c4fK3k#_^GR( zB^*LQK|b=!Zwm@Ov$pF$N-^3yc^Pr278pg!1+46$T){Tzsxo7ic46sNQS#^u2I%gVb9WAj_m`J}rz7@xt0kb|C3W7mn*;M%+?sO9fMSuK~--p4Ruin=t0I zXY}po3haMB7&r9!Br-&Jd#rM^rhQt*z4c5zMX@(EF${wcpO)!#JQ>(8b_HMx94Aat zoxmj3dG_Dqnwa_T2>$Mh^Ic{rj9TS4Kl|?@6M&&$C}@eHD0`b{1|#KBKvwx|8?x9S zq?f*Ae8R}JSlhrH!I%}Z{#S0~c39x*6h*l0zeWfAH9Y0M7kg=ilq9aI@5}Y6qqHZ! zsOwd2K4Sux>vxHvU$ua-FWfLq63BM;)3b^jv)k|TYf6~vd7R;88He0(BipN9n^=e5 zd(qz=Gu#N7ob-wwM9cFMzIG(;OO4I?HGp|3_B!%vo&N5Y3ur_50t_*V9xTJArJ@tI z{vT%f@451=QrWOpnPL|`Il9}xd-rJ6Jlg0b#4R;)fn>{0^#9ClZtOa1$XwS~ySSn~ zn)&(|P4zz-^XPwv`c>CFygWDGSFBS!`1)_r_gnHo?lSoPlhGmEnb?TWYGo)PV!5n< zk<%<6);kl^gQqqWg!$4T*JWzog30QiMCFKoCijcCF+i5KWt>s2V;HvIBmYMKlT!Mc zUS5MYg{3;zq;SNAZ_Ve{ifiM9aEkVowkDT!=M9VZMm@&5UL2v%g2$p!MB}(SiM;0v z-A>!Z#?0`Wm8~h0v?*a@`I!c~@Gos}0Bgf`bW?p)`M$e=a&-o+ZJHMMIvY=clsrwt zCog)!HDOOyJ^I7D?;0`h>#drmt>1B{;1dhym0QZ!I($a%3;u|lEUm^nY0wy1qd)w3 zZtJI@fxvs@3Y7kdR|3w!;%Jh_+LRyUfV%Xl@Lm!|l8g4ov-xds?dR-GGIIwSZlE@g z_0d;_m4bwyAS3=_CZuBJgQ z5xhG!*{@Ia1@sCAcxD`qHppik*z3CM%n7#~nDevjcs+PiTLMo$#ek9BTIFO2@iof3 z6r~3H*U{PVRTU*_sETnPRZM2Z`F0)J~vbq zF_ajL4OXV3R`+Q}=W8cIGjv78yZ6~NeuQPh9P`A{4!ULTh=tL(UhTQ@I_N-aIfmIQ zIlG*Tgt4Cns*D|2rE^l2&kel#C5yzDuO4AbDVs}8ApB@J;hgG4qpS&5+vRig5m*bV z#nyL9#gg|(#1?wQ>9(Ex{LbpdN83;4c=yi-up%wH!q%^8pdG7R!sJxH+`I3G+dqKb zcHj5*psCd5l6FhRX6(yOk)wmjYMv_yuxu!bFFrT$>~Qqv{tD($xD)_+;XTE?GOj=> zuz|-;SG_QrYiNtQc2+yQ>WrxYAbv$jaH0^BVu}ix%=5<(nM{g`*nq*ukY9$V75v2r za6sVfHAf)qGLdI6VpJH2nj~nRq#0ARK23z%y|a2r%|PSOA=d4&jQ&?; zy~CmP*+FV+qK|4><&ROD5Y|*Gb_??QYXFjWB0|&%A8Hvc1rQVHQTn*Y;bkZNDIF|* znc;V%_om#+Mp5t6+|=?!&`Fl#&*$wYNMuW8tMSx6eWJ1|i`X;g z!RO}*d$+$9!8vMS`%8Xe-iw<*-_WU%rONDy|4(7-`uNwgkn4EnqB+%3{y=iR$9n@W zy4iR@ESV@DdLMJ9Zw%I%wwHbwh00TpC7!VLjR>+_7P`v|8uC$Y(GFv}g57DtUg2I#0SBaNsyWZFVZBSQgqmJ8 z5>11TfvFDbM;G~Y%7Qc7a|l3>qTz!BW)@dW@&G;y8PWDWhqIHF)#Dd^Wj zxKw)f=#5V2srExz0DZSaeRGQD>K~MdQ@SLkR;p=I};YJPGJl!RF z(MisRih}J_#(8Q@z;&A_4XsgCCKYPBu1H&I*Ln8OK0Z`lCCT)OxF!|y9Lks#Th@p2m=EPY z>*@$KaU`FRC>q8xwpN!|x(RI~^<>AtL0U4HbTX@i)l6jRgD< zov;>0qZKR=i0Yp`0gW=jkZ>XC?&uJWE__H!GYNb@E98ie1%leb1kc0{`&Jj8jpKJq z3zDdiC#_8IJeC_~4}kc?W~rSpR`ncH)B{oH2S~ zw5ET~f*=sAk$)r!H%MhSBltlrZ-PCdn(W_w zM-PMu_HaVxyGh_t!XQ`ObPpeKpfP{xHm_|g9W7ZOenV872_Q~AB=BcRkWW1#;D2jE ze?Rjw8M4+x4cRKkLjN0BlnQy-OA8apB}$57q&P-p#KMCVd9|x diff --git a/docs/pictures/FOC_algorithm.png b/docs/pictures/FOC_algorithm.png index d9ef13bdb30c47a0dd083638be942bd3672897d0..259b2cc8d5c5e0bc47aab7267a647e5fb50571ae 100644 GIT binary patch literal 34628 zcmb5VcUV*1voJ~#5Tw`;X+fkHK@mg(0VE<#I?|*{2L+`{LKP%}(h;N^DWQX)B3%Wf zL+HIo4WXA1YI1k*eb4WF=Y02h?)_sYd+#-C*37I~QF=SctrI~D!*ukzG%7wRUweso4Lb?WcJ3!#;1_>_g^&K zcL!$K1Hkv6Hvt%c>A=u+HKXu?ulG+8(lA~CMommi0AOGU09J5osF+*@Zir--e}^1{ z!hs9GNH2ZbWwY|VWPk-NdlP-Y3UbDj3A!BbP~y&wVycs z+#o{T8!el531p5$G6!I;?ZC2ck$S$LEUD>^f;rs>Q zrFjd9Hsu#h=JlHZ4W~?zP@S?vny&o38S2ppKoZCtZaTnDZ|vB(d6-2dHM1L)JOFVu z{>v{(R=`@ox=QZ9fQ6Lwj7}wHCl~bLuRs7ufLVYN=!;)OvOoa-fqOHs3cwKn4=noN z#cyExDVrow7*|iKCVvICj6;sJBfy*VMzlxN2jE87#OaP_xUw5*Ip9&jyA=S?%a{sC zN0AsI5loVQAiF&Xn4jaRr=?GJZ8+ggG%=)B(A?)ICKoU-#{eJ851{% zE6ASnM8~s48R9T3C~2&mxxTzu^#x z%7HkM@k5&YvAcecE6s8DC2yshhRUZe2USTaYrHzhXZJcf*~ykSer1<*=dpaZXt1)r z!HPbExt;Xq_bsrj%|VooJNE+*&9jmZM+15^EC zhD2Ve$t34Jn%P$BE1JE2n*9wYw~P~4SY0;y>5a=rrId3e?@ZtBji8}tca=TWT%mpvJG_fM8~$9X zxs%>l(v9Zjh|J|1zdfnV9Y)6A|IRbU`=t&U>oeuvw$e>BmHLX7Vs*IjSpTX2Lx)X` z%PabsMboIZm}`}}CDUseW-FL`F{6Z+#hOA%cvXyqC8dH;>``O7r3U(-aZ0xwcR~Pf z4prKJC;Z?qbJXjv$$o#kqublIxZ@HBwDFTD?i&FUph8_5@Wf1igE*C;^9cQ)_UbvRZDsVUqG{(5xh*W!~HQ)g+}49 zH(LI@e1(Z#zlL=6E_24{uWkK_s@0As&x8{{=HmTXo%xHMvU6LnTk(G{(-uv!aI{ie zFMl*N5TdL(FwkuW^0Hl;=`r$weUdQE^4&M1Yi|t#MkHAbgjsA&Xm1odY2T?^<#c6? zhI#+)L$g^I+8VoPdRS|NL6(BGDNE#IQkFPfh+7T)B_?wk@Vm9A0@ta3iK>4NUC zB&7?OX-HCT&>74GU@LNZx&M}~$9`yFvL^j!>*Qg6p34{)W{Z+TE}|;e|>9 zPUgVj4KWI80mq*w-Pw37)sz)BR{e#)`acu@{rCw_bLQ^!*Pib(Q)_1C2dR`y3z-dP zgD*=|6$pCMxg92GPLHpRn8)U)Ue{18OE_14IVAQTb+W(IK>HJLq-CLep1xT5_u8+5 z3Y1rh%Rem$zuLb2j{VKDq{OfrO!l*xcg0$EmJZ4+Cg)90z?<#Y^B6Li=A=PiKuN&i zxxA+)Ucbj)gBMfg%&|H5=cn(AlM+_CcJe=bvOc=9mgPwlIePIkr3pQmWLEwNY{ARxrI@al zR-DSpnd#LndqF&`w_95(`j_t1La%7kGkcXz6uM=9S`UZFstaQg$kth8wBZ@Ab}AFO zTLzcgQ*!l`jo2)2==aXfweKX&p8bR5q$nI4b7pRykOYroUoF$aA(tS9 zjtlWTa}nyt8(jAs3<~l#=pQ7&)Qf2~Aih*vGpdKtVo&2)O&jg*_$N+8SY)(j zbO?)Dsa5ws$nwZ86ExYsS)Dj|%vm09hx=eKfKyqQciQ{@iSWQv;WqSZs>!W%``RZE zJ!UT+|B=kht45Nz4)4Ajm!2X&T{3o|zcQ-yfy3cvT=hfYl%K){l~q{(lFUEy!@7Yg zZ&M*!Zj>q~Ndwex<3NLRSto>()|3c7FQEd#^0OaSwh%YVY@Jzue42P|td~S3jQtt6 z$qd2LuTA+3QbVY0QDpwgOUMq0AP+-gXeq|FG$mGyq($MBq)(Gu<+rb5hlUdxh6mAf z)~VShHp)vsBE*>1U2w-w^?JAZZzo(8PO;O|o$QE=na#CK%a7ofUHKqVz#hQysL8CC zz6kTH>=W`cTNk;>EE#Fb{`piCIn(g4F+%L2hzJ<$<1g0@#zs`TOb#D4a_bsNYIZVz zeU>3dBaV$w*Ic`BN4=A|3t0AHp)g=t{*w0JKe@B-5%m4y6^|+X;;ncqM^-qC;2UlD zrSxvN8*2Sxpe5m@iVFijupK%(E&mj$%O7$r{FadrFi5Cl zi_(5kq0(641xeY?1+RL$&KrqO`DR!8uk@HdToyOCy!VON(sOP^iHmb@7iD@WzGo6? z&c$1(ku-ZUJC@0xm#Ar6uX3xYl)=!*+VlzsqX=b{zSTX}R`W%+eVP6){NwZ0 zl>1uhT_9X3MSBoq0fV7sVh>}$hxMzdq<*Z6--E+DdXU(7vorcLStWtuBFk|5)vw_4 zsgs;eHPHFgiYMfSJH1A2m&C{nnaJ5nz7sG*V0T-HjmO0pS*k0JOnZ~QW z71y0bN3&>XxITsW1ul17n&d%gOZCdOX-(SHX{;L&#%mD1yhYOZz&aD1|*<~)jhyjU>TIQ;dTAv z%Ezqs{bVHZ1kYbp3ozFm>SKY2a}H97K3I4DQm>*QyZ`j3WnB=J-8CO6)9I{03j%sm z;+W2<>?8er$?pdzSPjMX%f>p??nXTQSvP@i?X4SrZn8gNyA>f^JdYku#Yf$VcrJg) z1HANr8?-R0YK2Vbm#IK@;9ricKBt9wB$IuBn0CSfaC?b^41C7X0WSUcyN}wIbq~Tb z+cs*)r+u`d=O$_hZK{?-w*w_r_~-F?^v_iACr3xYH5^s9eF~#bo)3pCWb~Hth4%Lb z6&-Ee)A$KuV1P?XCWFkwLP9dHeU)3)bA*#Z3ARzqdP}P}&RAxA7xYsMan}IukhW z&S65C%yG|t_p9wE4wK-NbN-&(WiZgHF6Ey<)>h7sDlE0%zAewad4Ut$PWW@1E9bhn zs35drZ+Fl@rO~_r*ez>csyf8aJ9a$^?2k$d$GhrR3YsA))aTm&6)7?ngmcgnJJQO` zFC^-VE2*rXm&Z2Hiwgd$&#&$M47h6gG;xktIcE1HyrXlvliy<2z+kU&%*?U=&hMUX z4RldmVdK=F{p+Tpn-d#0k@MjkHZkKw>{nxAp~BEP&(iXCjxwfrdQ!Nfeo#Q&qYqiCqv}>Wdv>q+FtcHGed6}pJhNhC2ea}Eb7N8Ow3d#HxyjG9 zpZz{-mV)gacd41sAx4YW--(f(%d%0vpCJL}(#qs-dL=fN2lYV`WXTeYWq)@|zU`&e4{o#aSTo9>BphRvf4P7$4IlAxBMnE9a}RmG4Bc zgfDQtnzN;<8qM;E`OFFy9M8IFW7$5_zczA~>ox-kiiWev5@Hn{mWu=p#(E8A`LaLI zhZLx=&NmVq6kYc)e&~D0gOq!Yjw4w}+Tkp(pICMp;3%y2qIFcDjepNY*DhukeVfX? zLS-q0F$Js3KHSlwHEox<^?G8p_uym5b|9{zJL2zsUe-q>$jenloU6{0C24Lr%Qq$! z0_O5W8$|Dw_8iJi5*jCy<=3wLgKf4HRCcIm79@02$WNVfF_gJ`><}bj6b( z6~}vaI=%rPP^R*0b7c$_Sj5Fkuh1e*+gv)E8k2Y6ym8Wjew7(r+2fIb~I%i6Tj^AqRLmWwTlAJxbvH)rYgTei+iSaClBhq{}^rKO_!vI z)eF%#MDkzxV&Iwegp8c&ZzY5BewKvaobA###e==CaYx41cc2C0@sAHTCQ{F5s*y|cc8p^Uz z(U&j>m4^6Tf$5shEG6-;S@EEn*igP1?b|g;(}u1R(IQ1HWgfh7z5`X|bw~H1kBlo% zPz`U4BDq|JWe=gF3mX z^%0H@!No_`(>wb7^?8cxgF);0(U#@`L4~V2@%6MM^G$i&c?r75IqO4>hTwi47Ov@V z*Gd0+B_Ue6w%}z~yfOHGeWVY5V0DTO8swdXG_m8zh`9gxBYoz9I^3XI8{D(EJyx|% zS;3eNyF4dx3YerkJ@n2S<+l97wIy&I)lwSMkBooF4H+NMWXJ%6rOL2~O{I%^+!m)zKk%Y~2Y2SBr?$S-0i^92#kI=5u zTqiF6PfBo$IRX28bR=8V<+rB4P3&`{I|y{yNmv4NE?I$Xg(s81@29Q zC2=Egl1m(e2YiJew2kw8O6Rp33z-nPhO?6M4}OI0vf7IhVY-+zga`g!WZ zMTn?iW9iL~pQ{zQN%J!^4w#H==rqKCT`SX>OI{Y@Ki8WdPu$yJUq(w$f&Ks7KKJib zg$uamR}y8v>1l*#?p+8W&70JC(T7TugZLNJIi1VMtmorA)U#|zB)i0|Sks~Cx)~p` zAwG%RXQZn}$r~%2arCiIlAp?YNV3f{ebU)Aw|V)m;hh!n12iiLvbCX;h;^Og+bdqE zPw{(f=TChDu|5zv>eZ}aGFUod-l(PaFYI28^Kw#0{dg~)9Ak5^%eP2Ivaj`EMI(+# zNjgo#0U~JLf&r6pXmxV_L#3T*!0xR7Yc&5EX7zTYHExhtMPDonurnP&8rRX!Tg15iskuYN$tHp+ z12i?FC*a+E+H=u?mBmmI(947Q8ClgqyACiANrb42RqtOYeYS%x*c4EpbQf}+C|*O$LNM+U*lI#ERKcT@xr)UW9=Oe7jz=pjM|&SKjj~ws;9os;LsZw-)Ontq z5Wd(n`qAcL(D)M1=#SG^srfCndQgjnP7xlF&p_CznoCB=;b$GB%J=+dpp ztK)sh?|S+2#tqwbt$@&uu`Tc26zmX*Ge${-qWzi7;uW2x`d)1fY>VuAa)7n-u@v>n z(NVWZ*)z_=*6Pl>gdTzQD&EYFgbHGGI{c5tvYyXjx6OQ}8CN@FSI~OzW7)4ATGKHK zC~3GlLVl=5K6AM^e5hg@uPRRtY~dBc{Mv8hrHoqlF?i49Z7=O*bc+^$hB2bu6WC#T zA9cRtJTbxN{r5;Z7%y~cGi>Pq`%@;L3>-PGBJEs7e9<5O{I(rAX?o8dHn8WG!{7sk zYKI$~)ie4#aV=zG&5uwXcXyfdz%NUvs|6k+t^-!hqZ$fWln!|9__*dg#g0a7(O#=8 zrvTSDT=WYRjyQaN)LpiHp+fj0d4HzI@g6pJNcs?UZ~Yn@7>I2Y*J(dk(v_D|cxvZDan5~&R`d4EkMWmcY9cvPM)6iC zTgiHTcP0W>4}Kn1W1a`y&}TYI`RyRD4taX@oT8ppwOQl#o~L+ZaqxlS%4!4Z^WZKq zGVID>sMQ*}5K8;gwt9DU zev8(qBsode9f)4&Q~&(WE18f9n_QK0b*6Up@}#6^!Hk1-wQSB%4&lg}jkk_wd)jFg z26Xd=OJv4m*(%x41xx!IH2FuJ1k|ZyXeW^lOtQn2E9mqJxc{cnq2Xnc zkyJF-%;b<86tUwh(B}3?8bcoSbDzqg#{l6RFB*HYaJCh)j1EuL#CMp1fy(IXGopev z_R5{&cHf$QE8-t|VN|(jA%slos(wx|>th~mR)zE`35Ij+A51RnXe8hGd>@Y@O^;J${|Q+kAUAkHOEB)N$`Q$~O|IQIJ+xn9a2 ztyTnb`JQ2*?rQTw$@ZPNw!hZ#q!ZP7y}WTm6WrbqD=#;&N;aPnbTEd5m0A(?7>t1E*L_dbL_eHrelqu>U79(Ef3(7vsd7Z=X8#w#y{{;4eW%@=k#wssS?u zS=?OD!9o`NSEy!cXJq}!<2jx>_az;e-W)2UbN6k_DW#ZuyMJ^_M`OF?^q88d1V)E@ zSur4QM?o}X6|F;pKq}(PpdrsUxn(`M85cv=+q@mIBMXwPnoL~_Gb=Knf|ii<{*dXA z{L1s!rDZ)qn2hDj+KiyhrSl_5oFcx{5mRdkaj$?b1+V|n61w~k@*S{&__v;*iB+^O zph@6eWZ;tWmt(AK9M$CQL1b3R>Ksj9vA{`9QT)G183$d;{SmohNZpuJPB4=vlaKl- zY0%(xYeX2uWFsR3RW*W>jn0!vR`TCvfS~Dr8NmOWZEP(2FUtNq+yCN@UqfH0_fT~8 z#>kP*MS+iDri_2rw%wk!LTeXeI!(1hHFRx?2(N1r^0mJ458No@G~oZ**fzBe1LfYEire_B(y1Z7fGtsY>4Ab$iq#mj;aPxSTR1eJcGwrl zD}Il=`RrM2)HdL^mf)G~yHhTu%YHa?9iF#i3GUWVS&2VbnUkV5NpWI2@zZ9Kvy(G0 zd*Qah0-lpn^P^8%U9FprWsTcqJFJ4`-N3B+N0DHY>yBBbxMV-2zVaIvBlJUbTe?^7 ztnf5gts*7vG4W~Yx@2dU95DBV7KNIE)K$rAwv%d2^!u+Jm3IwL@JRW4CE$f!VS==; z!Q;KXTRQr2iJ@Rrz5V0K-A(oB$71KgB%l@TN^RGLJpQnE#dz5>K@viL)ui7YL(6^f z$l?kQ+nd+WufZk;biY`_qTzX>-#_QbyHUdgog$i;BTc3|wtmZDki4#cFZPbK?kYl=-VGKXP)OE^58;J`l3|6EHS^2P7qBJVxbl}E&Yi&fj!?qZN(-VaY!tkM)yo#YmmM362cs zQ9X87YR>G~gXNuAq%yy6w}R48JP>$;&m)a!{PWD&$*5&6Htu_BckEX6wM*b@;DHhKuxDdS;IRI19!Q|VuE3Uu zV>fi^@&~Gb3b2l=?I~Gyp}gI%KKFXc0km!2LCG1k5C5z=qyKAbGdF86ma6Ti?u+xu zHX!)O%7Klp3>rGz=0KAsw(r!?80}Ztb5W-3 zo*$3z_E>J$_Cy}CEFV0Q^JHwLGmFXz4*Y7ITMOsS4ek|^AlzB;^IiV{jR!fSXv4O5 z>QXomsT8Li@%njh2K(DUQ5SliQ*O0A9&XU6ZHlB`Z@QS|?~~hKCGX6yp=fvwBpl|m z+Mj;pi=X=DuP%daTDQ2OE>>(pef3!=zl!=5(>z4`&90tg@_)sI(p88d7NlU`1!UAk z>p8qdn6}$lew_{ORf=KGLrjeyQBKyg8Fu@9E}#Dt=-RQMJ#lBlh&(G2osD>9&LF)= z){s1tAdqgblABH7_JuBEDy0xqo$AR8U&tbiZF;a{-hAtZ;Nb+}&^ndBDNp zc;k=srOuPuw^jOhz2l9xnz)w*8BVtw26`%OvFZIS8+Y+$EOPL}sQt2o5%85BF?M_H`SB2s>e4JT2Ww>-$V5;y@b)# zjWZ9}gF$SRBWRmiM7xjsM-_p?qs813k)4gFVT)z>BYV;|dOe&)uA6Dosoq*FnN2nQ z@%?^bd`}uVX==4rqTGrM&21Bka%zfGTpu>D##JC9Gvo^y%+ad;?S}g0G@Kd5e}^yy zr35i>p1peGj0td*BNdq#=pm9fchNu4P)g|EhbmBnJ1{l~wC%4^q!#{A0;w-vN(Kad z2ABV1u>hFz&l|fW~|(50TRP)7bY`0CTXJ^(9LW_Hcw@tqj~3gAC4JLHcx0wk1tf6tFNw z-Pb*uD>UB;{yVuEG`4|VD1zN69N&`uJmIaW77qu)(_UxEWu(ZK9>Dc=cA*o3+cA6g zub6Eec%SJGz*q$Z)5#Xi`vWE@m$kM@7UElctE8l)^+_Eaz<2^YFS4)S+8)m>a2yl`3y>BA8AR;xC+qx+^>W}5f%;b>O&&FU){WHBlX z(Z1B`n!5+W-dArH>Vn3HXvfuWFm0MOocRaSI+8`}Sl4Zi1z)qi;j^YzJLyX7Gfy+a z`wVE1pfT%AVv@-e4~8iqUvN+NRfWzIqB_-2cchpC;^~iu7Ai8CbM2yq0W(Jf`2vuQ zyT^1oG!Mg6m&r~W1_tGEz%Ykz+M!*_vG3?ew;j-C<=8jpfLr4V#H@)_A?1QFfvQg4 zoP_e}XGDQduH|o2GSWi@;&Aw}5uj4?ceX!3XPol7p7Itz%p3S!d^VyV^43?VZHer{6;z+N@N^k zDgWW0l|0CeV~(&iwNG{f9Y%`z#?5uffJ~`KlxjhzA8ma};Ql1UwMS>-)zP06FzRst z7g(_(q)QK`@C?4`22#9g$X z71?pazQyIMQcX}ev~JUlj^qYmOkYL5+fPu-K*$|T6ry+v|XTJP`ZVU)rYN_=+ zY+$>YLa~rYK?%5fB~nyi`cZQit^UJ}|6`TDXSzK7_I-~2wTE&BnV0i3j;u0$e>l=5 zJf@>RL-8Qe*T$D5iQg;8<T{gv|jhhrwf;f$WMwSm?k=L|3nN#Yv8!2r{r zota(>bXE(yhfx@o^3Pr8EX9XO$d}*UE$|Vv&NFO`UMQ7ZV_6ty=}p4GLEA8UA@#fH1i0lVZ`R>7ZZ*QNE=IJ!Pb5pKC2elDy%Ltp9LrGEo;$U|ZNCmv+$b z^J~0s(nS}8T^(dS!ubyqHif6sS^tXFS7Fd`9kSs4n3$w6rq`}XR(FVFk= zTmxan4)Nxb&$=iL2S;7!d887P6b_QD!eiJv@L=g(w5XuZuNMS{wwp1{pbt@HMs>PG z-uqWBmJWI{-ZVX1zGxG+vHJdf!80DzE#C__P0zS@&!!43%-q`quV`5+8<$lU4EvQ!8-p572hFxe)4w2Gt-(-3<{AyQd>u7ttw$&|@qVT6vGy*(WBAZ0u?%}#lPTDAe zfo-(%vS&T9znq2uxOw4(H)+VcK7fQ+M3h+dHhgHZ`^S_Q+@X}KN<>fZbP5M{#>4w1 z17-03ro%$dL+FsAf#3xhsBTW=TQon@dor~>8GR5evbl<+I;lvMk@!P$%3z(hT!c>g z9x4+`RJe1hke3XZ@N($ZKEI&%2nmUS}mt~HO@cjGD)|+ z-of%XP2%qDhOf#~!=9{duj+Lql9}yYuV3v=UtzTO<|Bz;ONXh>$DtCh7xr#8=N5~< z4_x5fDwQb4O2iZ-;ReHdt7Y22uN**gN0^UIM&(<=sU{z}brnsgu?5GzZ*i%T4u$(D5&&3KZtp)Plw!(K5EQ z;$1Y|4@F3mLvx7xzvuo8=~#w9|GTOAa(14cs)!nuf><<*o{RSEsnQXEQ;dA*?CbwZ zyO~Ps=z7gclB)d%)qfeMe`k~<_IBrqn-5A$%5R@sVj4U`4v-^M5Yp*3b=K`QbFND| z9&M%pL+>rDJa}(M$bE6raTUVsDU!k|z((JoAX(L)`Rhn*BEk8+5L4YUjuGem>(A$d ztXV3LH}HLLoU=$>lyXe862mh#z#dgY0Lyij6!yGT?AH0={2U9*YgpY?N7c53v+PB6 zLf7i`ljAnn&F>5p*6n^1exZZY(KrgfwV65ySf_iHK-L4C9ANzmt*ms$3|e)udZq;X z^BXrz)6N~+dwn$PW5fD7KA({Wd}iFx`5#cb=LsRrWH~?F3~Ac=ijgUZ!|^QMFu8&8 ztlf*mDV>e@zPvto9(}0o2i|<1x7u*Fa0@3@Q~G0jidQMXGIR+Vrcfy++$%wXx2GsF zPvKMct$g~xD7!?__=FRq%vC2ndDv{rw^_d#=}R-FfN{PonDiwq0`9w|Dr;s-lh(x7 ze4z`9JMZutYBv`3VN5>k*`YNztW(oHvci036^6awUD-Uv-^_*GXp z&9Yd$-Mhv#9}lW-E9!zaeAC|VI`iESL|JF9vZ4Ropj575xaV=*b}BIb#XdWmfRWn$ z7I=O6w!+d-PbMYF31v%t`3;5aq~Bs8*$g!o$7ICNnZHz$lI5{Eq!zXMVRE(hW4CFs ze+EqFkv#CwoR@h~vp%c(TzYvSxu@<25M8R=?vVLPqx9}A_HBX8Ls3TLTaJo<#F{EM z&_I8`!9ZF(tp<(o|1P7gt3y&#zT#jWzKhZ%IsDJ-v#(=6NnroU-T%$ud0m0PfrFp! z%wHyecy;1`USAraIM?iC76T))zYL9iLV91?ZcU%qE5&c%n426*0#TJW(s4c2lfFLi zUw=0rppvj!j9phE9H(}Ra~W9Ts$R)S(To6 zQ345U zeYldy&kH8(GachM2)$g#GZ4aDLmuHIoy%_nKBe%Um{ZXbc+4``5Gc#aeKHhyR7qfr z3vK$Pl3tg2G%$VWMbuQa_1hr!_9&d(NWI1@AD~ar`zgpByR}mnbw4|_=^S&y{VvOW zJ7>Z0G{94E-zsl$NmZTCmh{w)DYaa!J9(CVe7sgSjH~JQg>Ud2OdL&U=ZwOq>!%!w z6jQjfkW*CndaPeP-W#epjzg~&yN8zPeH79jF z3P$+L!wFXh12yFa;;Kss!+fEkR#9OAU+}$4aIW!AttF!YkHM|`P<+#)I+s3ZoAZY# zXdrSu=O^Z+k?(tLAMgd3dr@ zy9Yn>F1WEd3(`{k-iF{Fa^iMt8_Y+a9Y%~9gl>)4vc ze={a+%c^PkIlB)fIOpXc>&Xr}?8 zmdg~|=DjCI<{NuIlRJfihHtc$O4W_1oATQS_=jqAc_pS7t_~glt$pd94tD5t+nmUaI zA6QcEHrBcv)#5dF^~=M@*H!6EE?Rw|O&LW0`Rt47J+_HDm7vX^nHhn@*9_?oPX64l zy{9l)l_>x^wCWb7^+-9gRimyjqbTRk`yBj|SiCZALJQvLlh=gu6+S;xW=#kiH+qi{AuDC&CCv;;Y z9^eqD32LIB0I0loY*0q;ha{fT${9=!!CiqmZ`fiq0@MQ%2T?ZAzB$?+s8a&dB(SrN zpq=zU1vT#D3oxcS!wVsZv0+J41kCSvYddhD;ZehGfP!j!??w|eht8BRU@dm_*(-ag z%eIV7cEQux==GOrywls^{U}CS&8Vc1rH$*>-%+S_);^h!6Rkf;+I-~|>9}J3HaU5P z%St@d>jCLro_(u5A)Nx7ol3rI|0~`E-vJhdd}*>|B!mZCce&R2oKybp-8@W|NH5yV zkMobT(11|7?_vi_>HIi^B^th{HNDw)&?md*q1z`=-dUw0&X=Fx-x9Y^4Jzo+&RlM} z{BIf8{b)Qkc`-_{nX)zYZOw zKVb+lN{Z;H7daS!axHIHX0)X_>HJe(Uix?vu>tBIcaqhYC&ixm^Zz@_CN=U&B6P za@Ttv3EL@s(%nKEb=Prw)FH5_<7K^@W(^+BNz)|{uL*MmVKY~zJB;>v zq@4e9Guh{jS=TsvzR`|w&bpLzS#s=h>1Ese=1PN-$8+~^u=PRE@4?R!qn5j6A5 z=as5mG!K?O5=>A{6VMX<4}Wrvlfq!9ImrX+JD#rygzb|jGt`v4OO!yGC{osMaKIO( z{pt#NmS&ke1Mcr}qkoE_-I-8|o=G~*B|lV!otV4=&Jk-K@;gKlD_tZjv5=%=aWt!D+Tv-knyx-^rwB91mZ7rryDfN}%ZDi}IYeP455U zcu5DEMaB+$b?_DziodMDt>(d5>e%%%k9kI+*__5ZU$wgFJzP-Av#zsdxc^ug^5hc| zrWSkfJDj&WWgGn^Y~4lgoa%d3QWnX6u457?pOfqZ$-4xzRckRacB*^^T3q9QTOPD| z$e*8groQeoz#K0Opnv$WOuyHmIiZ#MLgwuF+!=?uKCx z+S;xsB=s9RGk~5M*w*=P1$TgZQo&si7$B8*|6d>C3z33%&)=-(6P-ocig`$0E@3`< zwYbSa;lXwK%z>BkI@f?gdH6#p6JyL-sFlYfpbF1-hv8&(=34ff0KJ1hihoFTc`=4T z7ylUgdwBAUQhwch?x`yc`w{mlz79hlI667-q8yhXeX6U)XCMi-dBsSklECe2ps_V<2=61P zosxajgw~XX4#U;r`#^0I{_g%9AR>Kz=+U8eS|2Fh9^@Q-e@7ddPWjI86`Q)mz>to= zjVC)~SA7~?dy&*PC`2Bu|CAfp+a|n73=oeN1@bFCAjN}fGOSr(Yrx{1IoL?q@{wxTVg%dR z1H(^9E&~<0UGYzhNEz(JwLwv!ebAJHBw}XBN?ROn?4mz5T|v11*vmms=bAxrcl!i! z{4L|llp1&fF2Dm2SP_A+heks~^pv54+&w5c&(&;vT=ho;P-CagO$oE8ArNr&vg4mU z(qNkXd4cQIm3vq-NRS(1?S@9+^S-WnO3qUOvlcjGRJDI+PS()CGeL_u{MzL@!huHm z`eaTWam#SxE)^gnka}+3RYZz-3Os`k1S>hDWx}zZ#{}`A zOzz%76S@c3x2?Zi+|`j#e#U?U!Mx#OM|)dRodi9B4J6(hqDJ@6-en_SMI}_9+{XQQ zj6-|)2n4(o$iJkZSFVvRiPVzy%HsUH{F{}ui@;Wguczsyfg+OF0h-vZIy+KfkS-x? zrnE2&8#2r=2vmJ14+->Masc5{q*d zJgosiAqK>D;6)@5H_nN`9M1vmab`S+A^gHsi08AXU&Fjzh1CTip6O33!a(cm-r$Ctt+q|RibJ(hZ>NEg#3`oxwch@05!4CI7!wY0G8lerbyM_9Azu!i>uGBEc zru!%)f2_qBOW=vIbK4<_7{7UQ3fe{9?$$!5WZi$Pbg*i- zZQf-W&IYC_Vj`UL6Q_FzgQZ(%w4XNG(a>1HcVOSLf_$P7!jUZ!zMi(vhWMPA1_kOl zMw>RvFvC!WE~*uvh6()qB9$42d4Ks%tzKYnus^IcniR!BMaV&8z1k<$DV-OZZhtkL zN?xdOVDjxikQU?udSYc=w;4!I?=|$oD)Md+CgH46wG}B(v6u8*Mdr)A@^42(2rKC| zTw`%|6f;%;iibBl;cw26=1@iM--nhqaoLup?wujcIlO4wQvbLas{Gt8$z8C`x8+$r z(&NYBzd2e;OwFa!wB~zS+mnswz%O@VR~MMzhj%-}5$)HxwlClRG=MfM3;4HZ#b4A1 zZR~r%;5DpjK=wcYXb1gg>~D~|`9%pjY0}Uz7Z(0`zc2B7^a7}RNj z*>2IZQFQHUN6pDwSE62;0bvGd!@y}A2TCD-Fg$~7(aTP^>uRj5LkU0yCZE3?98Evc zO8n-Sx7xB%&Q`FFy=mJQY#{$14l|g67W7A_AsSMEflWos?bJxOsMIxTmHJGuVylWL zEwrb}1x;Y-Q%H;6?AuMyw*hKvXml{ft|9Qcsc$Ywm^^3?|EQ}LLNhx1m@P{;(RgIS_s}RM`?OS z0~MGNLDH$ru{setesGC1DQ|?~e#?UPuBP)$H}A!7HuONPSjnG(xn^5~W1_}iL|sHe z;ft=7!6v8xevnlFimoLcFYY6mrzX5FVLZ)Mp6wOtfW(UmCKFTU{+q&Hu6hS5P z#T?r4&t7Iyxfib^b;D$|ERXxs@#&6Heun+%35HjIc<#vpe@Ud$a5BX#q#>;--q8h! zmpU}S^^f#y)2;hDV-FYVWNSFpf+eok)xPfmA`u5io}76JW}ME8#`)S>g(LYbbfL|` zlq189f3-5P2oc4b6Bt21HUMGY)fqQ(yj#$WHy<{#6CUtM05>@T+L$N@9ku z08u{@8MY|%HV9Wif1Kgf4t=Hz{IrwYWJh;0q34{)eaLQ!Rbb(1EZ_J&dCB$K6c%Fe zYsO`I03hdO9g~ zzMKJt|I0k8Tf^nknKbXhau?W4O!GMV9$}|%&HR?Rv~kZ1K7I`CQI9a zZ{pZ^g+2tS)tu>Sq~JE$)>toPO87ExKq4!J)TaD?zIc5@WAu9FMVjf1H>ur}4;uT~ z7~bos%5&AGkiCyr`nx~}l%?rmfV-9D4p1%n(1uYr3%cS2+@L)`1l=OPItl-r-`;_Se6G?M~VCi#Ht9%&1oPAp}ToKnz{7zQfr$f89 zF5U#itwxOd-V7XENm=5`DqKXu;G2>FVERUa8^o63ej1HFU7+bh-+@b4 zQ2c;=?Cr)y%F}1`#@|oh3`{qoC8-4kYk0Sau5gF}`AxQz8xWKM!5&(a`BSbR?l`1o zKFaJ%0*dP8wie^7VPGQ7w^?M%IQV~hdk?6lx@K=&>7fWjilBf%LQ^`3bO^nNCP-B} zSdb;5fVi~8O?6xPIRte znuR_mhZJq4zdFR}s$rGh*+tv({hp6PyvjHYCEE{wSfzkNb2TaxG3-$eq!Kh_C(n)*~w4khY!O zpLW!3Ps_JEaBd~7Nb{NvK@ke3;hl-DEtzhA2i0Oe?+a9C2h7^Q<3Kj0Wh34KvH4V39Wr5O55w?DnkIFX4(}bJMQR!+9d$m(uF$PBjt(T6EPaV1?FyQ)+%g z=+11BV?f`gJg;thuP)KummaJ8+^eP6zjminz0_S+hv)_K#nvr3h;sbBTFWGfxy*>q zb(-Oe?psn6_N#eP>doGBDQ2InG3RfOO1NC{ldVO5uzqKK*`>28Dz=JC)|u#FCu-Qp zM$RS#)aFhryVx$X2>_W35YyS-NEnt?kS;(!hB@$20B=_IxlMkhzS0iGQTF6amZNV*ATTX z`OW!x+`Yp%k6%-E>vkYtg7Jxo=MD3+Hl8FYgeD&_WnOOlQO4hWa%b%63vae&WV3fw zh#wF!?)9$}cdf3XGy38@=60b}V)r~au4zf;5MrA%|157~g@7zXB11zo8_#JS%gZbo zA2Td&Fi#-U#}dR|c0Za**Uy{+YVo+3eDc|36~g_o$3d2r?@yAVnnPWhu&0h9(=U>{ z{AAt92g2lqQ*?Na^NvN+PIbnaG)q(0! z2~d*2i&q(_I@p%jN%aM;t4d)@@IE0_asOfKs1D!2o^HYcp;^L_o5pX$IYLGWT3p4C6XJL?Yy2` ze|yG8u~7NS_GpO*%8YYC(d)*T=|ZTNd-(6maxa87O&%{VAj^#1-4F7SXKq@>*e)q} zdo;)kWj36v$z}N4E5~lXcTt7dcxZ-VHT+xC|LL!~5B)G7cIyb0-vuN^0&Ev?%$E62 ze|zjXUhNW{wj@Z(=OZz8hUqF8#yPXG|2VUnkZZ2vA%`EY1`oE@zl{cyaeF%UUcvSR zJU|LNp*-h)G)ZnAEO%@Xjvg#N95>zRwmxnc*-5cEZ2<_mVUMb1e0R`L@3uXm-tZme zzp_6{a+FDe?UdjKl(NPBmV7cPX%e}wD&}D)8$pb1L?P$yh5J_cWQ272w@IAcdkuJ~ z?hxqTYD|)*o3pL*f4t(NfqQm$4}75e_<@0*uA=JEamono_!U=Pt9}S;-hrrNatO9B zCWt0eH}R2lM7pW$4jmy!L6yrk>+=*~f4+{G>Q9_@|O7Kv>%BN@XyUAOTX z+`WfZ(v8-u{q2t?w$pwL8lybq;AEy(#WRiqHUjA1V_3+RYU9G=Lx8C6(cQRH-bjGR zld{A3Ky~RS3D5o0){G^jB)yky(vRvzzY~~}Qr>S0l^AP#jIp-=r03t))m`a_g)pLN z6#`nV{u`F|+Y%SQ!eQ-(uY3c8KZWh;H0}E$07oR{0{Bsgc$H z;Mc&Ml{mdhh12zbcUv(TJ%O7It1$20PJ3hL%TQFPYw_4zj z-n;Cb-o<#b`&s&U&2enJd*^tY$@SQ5o1$;le_upALIK2_3-(_xK6$wznJb>&xMMc^ znpK5}ITy!&{j)z=&E5vZgfySn(ECfZ_x$y&W>6N-2Mm8d5ME@kA^c%Hw%&P(<#b#%Hm9%16H1GDd zAHK@Zt@?W2Xb2*DH|28Ba=;GsXzU>QoA&{$@y(RGaT$(_LU`IbnFo6OWnK5y6O;>* z2UK80_Jl4u=y;-r%Br1wYwwlL`uf42#t6q7t%rt*z6tU%`cNuJuL=l?C=bi#pkmpr zhA=0y#0l)M?rrwirM}(<9=38EL6{o{&&y03Ob@`xXtck!4GOI_kedfZ>A}V;b5$$j z4-zuHI{lp@w1`3@h_z9z-wmyx_BA5KHH~FeJB7YQ^7|DEa5s~ad`8mf%@;NAh5D}7 z9glrxvJ_wlI)*jK>;6>BGmfW!dSqsX1SE1xH7dx@+X&X!ngFL1qi&4+7@nPL2D6@A ziqa!j(6?rgmalR{rcHh9mofXOJ5z*M=x8%Yx5PzI^h!`?!=In%(co)KghF)%Kh<$= zfIsH?_>JxDF4gZSD3}lCoGZ%@D##8O=r2HkVK<%17R^>*)Z8mAHOjjht)Jvwu!pw%VEVXiuMmc8mmo=uW)iSdiR{mofSjXYfYGmAEx zeezCmh{BD}Z7mwds3#m%bO|yv<_SE)P(*Y>&-ADYOUmo|!M$&v^8m4U3c0$+&W4*S zE7$BCSG~9%NC6A`w!|Ex(JWQXB9h}u~B&nY!fk4y(}pk&O?`UuxJSKZruIIZ5T`sX!;DZX zFLm{Lul;7+7CvAZ^2*uvMRuZKF3TO(aLAE7OA>75Q@j({$qUpOh8)xg*I);GSrzZ% ze7Jcdog@biQ$~0c)~!#X?#{$SF+W&+FwQwD@he6Cg8rKPaaI)9GlB8zOT!K#ZLKE# zYkY0|iEt80cl{d|=Qp#Bh%m!1r(CH|vk;r?XkN4-x)cP48MT@q)iwKQ!J05S;B9LY zhOOuz%u1L^nmOVrU^Kzn9L!6W&OI7Iy6j6fO~14= zn!6j}0W%CMIqQ17yZEK&{KVz_*Qo~1_@%32`9Y9=n_KV7G5|^sK8`;zWVuknW$5Al{p4KE0D^yCwi>NJ(|Y^RceI&}v* z0j7HuH_t1^iC@{8jY1H$=zagH3HI!0R2rL!Ah*n2i5XPS&zQO#?)n_r?G$I1O{?^F zGET+moz*z`^ff$W1Po*k1w_S;JSMAcBCdczAPA41E= zzRz7;dh%%+WFYBpnUGd8HZlO zeLka~Y0hc8g6pBGV|Kmd&DH_LFXSWUKMnQ$x?erL zCDij@p+Je-pgNzCk9gD-jozD6_!bYxZJY+gcu}2|rW!(qS@0$Voa!G{*La@O-hV+> z!%TncQ=ZdmA;^2wsi^tTZSS$z0LkL?Fcmk{`VA>|{YFnnr=uQnAaVTK0EQxa)Vz-v zB8Q5(HdPN;?(nF>6|_nbsndD#&XbKre#f#Jm62IPzh=dZ+w)ctIie)d=q z8REiQTteb%6DTXEg1@R33D$FJ;(3U_vT4OMSD|2Wz5cnjV{*6Wty02MK2!6<5?PS~ z{TbiA6ROK|-7VX1hTg14*a-J5=C|Zu1Z_D!*P=GW$MUGUzWYl=>Oc-ceHfdAr>`Dh zT*pm3a3FeQ01g^vUcQ3mGb*Y5+PLy_;x&_mX(Jm+5(m)FH@0BW{!d?yaraSAIW{_b zUzI$bib{8wZNr(qq=p(Tue!H(d-x7Nw3+9HLRSC zx}1XMKVktJRoEXf*bhW5poH#ffW-_ru9@ajXu^ycgUrabzD51md0%!_MGsHx?T5qR zs#cR@Q9Rf4prx9(wIs9K3XHYOu-S1@_9B4~kn-`ys@G~{!^t(tp85ET*lL$z%Uxs6qbSfo-b46w0F3&WXzF+v2Fnd=G`Ua+TE4>mC1v z5Nj0isb(3ah>yA#$)@YOT4#@zWa4LD`7eFeNGSZlkOXf4$ z^5gLxV%GiCp8P%RrrXndkgDhH?9p`1`YtXZOG{NzK3l7cEcJ+jcMjb9dZ#?hdO_JW zL3>Wfj-zerZ6LYd(_CP$fkL|se_0D~I=GDZKLUH?QG$eP#6~VK5NAvHX&f!HwGp3E zSH@p@`_ld`*yy_q|G624OE=&xk9)=h*F)$ZYC`%m95g`b_+(J(_q^43lsE@za~&lJ zQ(KzXd9qXv&%mZ5X%S7|)uIiqBx%S{ClSo77Y>)Z(9N)h{%Rrn&fBB;gsU=~W;`7t zw=+6n2-`|V$s^aTNs4jOhwh-^I_D2#)shG37*;G^dQWJ^#wqE7y=i2G>W7TVEO zIH<{9K;%Ih;_joR7sA*cuRt~>XrUDVZ7Sx?$=T`U5kZ@lX?X?{9T5gaR=qz?Ozq!+OIg0OgoNbq+q@?e{cfk%6Apo^c08t&c zGo?XGe|%A_ykVxLh9U~%7JOx$T$}MO`PWs?3%|KhQ?qMqe9N2TT8nD4 z<+cBjXVciIxzEO=S1Rjw$PAtUY@vp4{vo1^ig}+i(=jwwYFjWONz-Z#$_5^DUcL-r z_hB`<=3-v|!pxUArmb3y6M5|vl4vJR_Suy=_-Fxm4)no1Yp{Yy=y^~l&N=!Vox)Ow zNR`sG(sW%LxNOGvQw_4*<3ibQ(3OoYV5#CYzb*A8Mdz~;O|+CROIy-P8o_=cs9l^-O&{sk(1ZZ?j6970*7 zJaN+}zUO4awjkBRGxux8 zZ|zOLw>5$(@N#pD-VeyvkkADe(aA?Y&|&#jU=K>LkM7_h9K|X(9&g|DaQp=NtA*%> zT;Nq7u{YwJO>bJXQEsfR`9zf04>a*~la*7*ek#z_&p^wz6T4)ea7-PpG3(O3F^EMQ zxIpxpdQ0!?z?uWGZZJGr9w~h^eQ>3fFhR>&Eh;FomQqX?fkOJ^Yq7WS9j8Mk7z=5n zz`nlcZ+maStn`J1L<4zi_Dp(Tk9idKKnW&eq1?#zy~(y5c0i&FepfRuwSarZcr(+a zytw%Jph6P6P$f{&J0dP5TPAUbLg?$eafj7!)(h|dRs@SjllV$%CEZHR?4^S6)_R}& zy4iNTkHl2E@#^0QD*kwp)EyU|nlYb}^O?S8^3MChj9@T-;vO$!p(InClqZ+N-lVB% z%jFiZznH4VM87_#9Y^6gzqY;P%a;-|Z6MzliS>D|@#5;6AYNbG)4Riyq;@Z3f>*8R zV^;CCI~JS(K$Je$wxd5qe&QcV5}Cs~0gHXOPq=u1$^!?i_9>&wh23Uibo11^VapsgBhRQIBTIqb zxNAes{f=Ma_0Ba;?>)Qqtt!%kY2UW5n_V@-(W-QIN!bct8-?e_23-P*so4)q|9C%iZxDgu@Dj5j}UlJR-U6f<#wb_6#XosGmWI^ zoe-Z0ZQ+<9tis~xI9(Ch^lqq9et2?uN!C}&^5Nw*?w-O-!=8s0dDy@O3zf5$Fct`y znSZu_BlL~coxou$9fI1Q;05k(e0>x8;ECA{ULbVb69JB@@8Pqdk{KvdC6%f9yQJXO)hl2t5 zKMm||Y>ck3P^Pu1MK3X^0{bWQ^(x@40T4UWO=U_x)b)^93>ygn!uoS)UoL`Ym7seI zYra4T`;F&P&_gjhyBGGFu!2)``Ue{i1mof7F%tiih#ZI-Ypj2B`~T`Tg%S=bvgcsE znEO|+#lc|?y4Q$F4~}S%hm2D2}-gGUwxXqdWe&H!8&&5eeua)i<S7WxJzY30Cio0 z!&K_{h`9s7HIF%v5VK1`iLxM5@pw3xw^I?RIaE4blE*DN!E?Jt0%hUBfxH(2Fq${S zp(nrbp*nz#_xtft1R^r+GIRCyKd!HFl#jd7#)`R*1|*?tU$x{cTU-q%1!-&f6nW>D zc`n_2 zWwQbFhuM&|^_ICe2rz?Ya?lN=Kf7y|ktlKeF^$R>jkW^G)%T^_=0%S#Tp+}X@g9__!8 zwB?lMKX05I5p4phud$#~ZB_l2%&}=KZU6M7Bg4*TmA2;`EPpd8Ont=8ACC&^1EJnPw zLo+(kmzcIFHCND*=S9x`P(4=V`*T-;n;W4A(_?x4E@^DH9t!*DJL8&=7hPgJvl|dY z5F2>G>XF}UX7zMM&p3f}S3&C!Ga>#^7`O3^sODH0kQ1mN`g^KgJ*uKOwB+j;I?&e6 zCz3v}m?YBPxG%f!;Rn`b?Y}v-)JEk3e*Du^s+vuk%&2t1yhKTAS1*_H$jw7>(FF__`|#X#mAl1zkiDg+=A zArv*5Ax+^0LC$GBdj!B4Mj+I?Go>!e>F!K-dpGoEd_y;rKQFgjg!K7v5@a+U66m_c z#AX!Qhs=9rAr*dwMKkKcK)25Ua+L7=T%8o9nTfIri-p!XF9*52=1W+O^bY7pe0(p$ zt5dASiX-Z7KLr+}+WVz_HYg%!J=AUvLg71M)=qj+Jw`d^U6l}r?|jCW2m{{CT3Ri) z7#0GZ1r+7dMv~Z6!nE&xlcaz1rlF?~7eRy1Vvu5$Rz}zmEzO<{MDKyLf1&VxZ@tgf zxBC7Rg)F2skf*bv1Qfrj9=xg?_UyUa8rf_{n%`8~TqdoG$`_eY*DlCPLR;=t0(ts? z>s~vd&oaG_~D~D8bvd*=b3ytUHCqJUGoQX zg{|L;gNN$6KsT*bZ*U?JFPQ+T&pEXhL}@a$p^rSBIrMtzU5qA88B7~jIp@CDMrm66 zWZ=DakeQL#n^_Y~ke808{n@FE^6@JSbXG=%l`*x3_rxQ@BBYaI&FjS($CI80zrs7) zEE{uVbZ?~nIVrEg)F=hS3AiMZDEi2^6ne(C3gQ^+i}~$T$L`2{-qy-!7w}IcBE*%~ z5>`G^oC(j~-_UN#ac|ia*V+PeX5y-4)W`2dHOB85mXZp&LeH$9AlpBW{e8~VU_*u2 zas3G>^o`~HXR_4cGI9p6a;i=m|6fP zUNFR?uK{x)i*2hy@Cw`St`HXjU$erE??8>o1p-VzGlz*d_Sceuy}pkOyxu1)@3ZjC z8#y*Ke|u947E(WoZ{`p9p}%Jc0M~fwfNR7PlXEB>o5F&N$M|)!ol_-l@iS~+a95y+ z@>Xijc=)wBBTLQtLjuJ5>#Hu+8}%xv5-xC2N=emy?#!t-?&Zop?SBycAcIM#b!CIS zIHZ|R1i7&3$Sam$FH3=|U@zhLX{0i$HLSHw+i~nm$z5(u_-8z(Kzce*MLxp|Lshnm zjh9nqc^lu<&!|&5IQz^EUU{LwV=9vORUZgRh;Q6GmCflSGlKo&ZNaLj_V-8?l+gMc zTWypNrx-h-Vfe*<$}3%Qa9we=k*=n=wzvei0&O0o&l2BbOAmJo!0msfYZ8cx^x zg;X9XP`Pt~6qx8<$ zyC;E*8C&jY7ey23q#D~Ch5uNIt%~Wo4n8Jqcj|a5;?ZQ3mKC}5MB9$@+slM#%EQQ_ zAEu4|B=uCjR{&X`TtM2Iv*_|72f6A%i3MQHCb8p#V>01y;jn@L%))fPm84cwBKf`T z>op5zBTL04oeFfhf$jOU)9CrDk7<5-em4wYP&os|4FQKi_?EoIS3-v4@FIs}BkV3c zGxxFyu+g4QKIjb%J8CLFA9=~K#KFG6Nl(As**=(*hb(;{f%(05;p)D==$zc=ytc)4trZZVK6 zvMmPg-|5N!rt+%G2xV3P&O&l@jg*v&d#srQ@BC$5$@>=ffd*wZL0hPvLBbQ=>qcX& zoo;!B@-UbFic5;fygP4iO`A|@Yh48e#zb^#FbG2ukY4_8cm*FG1iFB3F}>1v0Gbm! zJ{9`-@3(ac=>O*E)yJWrCFW0(I&mYfS~dn`scn142jTwq0|X9yRp;zp*S1P?-ZUz| z#+DUksSZ;x%=PplDX!G9o>?$ti-D3G7Rx!@>w2K{gn$^uigJxQEN#!)mS^jZKrqge zc65%hMg<(^qKZz9l=6_Xz<8}wyrYm11Ag?R@h158>C)S^SEnpL>&sGa+-|c}l)p2r z`-$Zp%p;5dqF2h%FFmQ@xS|K*SKzR_{&L`Iz3^-$s|+rFKR@$Ud0{o!Yq}tw1ti=H z_PXzD19lLMs;j0yosI4x)8=)Zl2$>o-;)comYV9NC!0Y?%e5=g(h@L90321-x9_z?`uUxJHnIVLiTL#|r7NH@^k~o}NUsAZHL98PN zt}@4>k_+iCGp_X1MrABW52uq(v&R}!|G|e5-dHi5EZ*e&o>S*@*i!uM^^(#sfOxlq zBCPnl3(1|%x#brQ%DSYyNH)=R-}AzzRA(%d*e}st?LZ*dNYFAW0Tf_*e!#DNumR4+ zfEN_H#8FKw5X(>;L`z9WHlvI>JpNSR1RMu|GZaf6H_h7WgVmCq@m`1UdRi=$6@TdX z8m|Feislo%hP2D_;i)T@`3+O2c*@P<`w>MIZxWgg(WDEx4$kgSo!dnrBk=pcMHkT- z4%p;5$<-}|B5CF2gydNGVi^7NR2&RWVrxE@5W3fz zjNh#cMl@nvg&d1 zVWdkH(A6-u`$5CK%k=vNh}afkB+tXG_dP6w#Mzk0Lm?S4c4Z>F77Re8&!W=q5O%=OBqejWSR5b09i@Q{xSMgY6=;2 z1|=-`0^c<{u`^X%O940)oqCD~h>Dvr`}jkV*8;G}?ZyXMQj7P@F3nS!8;VCb3`1P~ znV0aeaLwsO>p7AG*XubsGYn7rx|zeXIP$QB{Fsk;+9$tI*XPwL6uu~*EO5^apD zRYk=3pZh-z{ORP6L^UBv=b=d!N}M_#p!AH(G?=L1ArDKA@PL^-C%jg>#X6v3Px<*h z#dtrGkaKSL3IE798BNG(@i?9-?dTjd%Qr?J9yRbet*68Bir!s+bAzeSj0JOVg-K%Gjb0F=#LueL$no;t z{=n^8821b)FYbp4VVAybFe~lI5xG2n956=^i^x)!kmJw*14FYs3xypAu)Ea`BM7 z>|`&z#$_`eoP=Ie>=of}RVNV@&hasNd%wM#{`HT`B9#)f{}9W|l*a9q{o-HuEkxnY zoNZd1Jwzw>Vgu5;5^hh;abJVZo7;}=_`TGCSsStPf*^4=5ysY9msQx}wyeJ1DH@&2 zEd2>2tuZQzS@1*#lD3zVjtG)xG=WD3+f1ZGw|w5GProN_aI*a3@>lG33W{3>L(J8r z9Mx2NTOMQqio51MeX03_GZtclv+oJtv7N-VhiBwz2xWXQr)DH4UBQ8!=^|BpyfZakRP5TVd_2q zdaJ`zkA88+?ZeBfA@$8FI?`MCd;8noCuY8N7UttIuLYML%+wcK8hcFB&a3WoyY%-? zo9@*-tv5EeEh@dXcVlf6?67#RX{<*&7eRny#t5(h6jT3}TOd%%V2u-{R@0W`4PO_H zK?BWTuT&X7ZYdg2;VZWDsmf0-=jRkv~aF z#}npE@Ik`oH?x5zDqA~JdzmW%1StZu@Fk5mFrlR2-1b+zP9|~oS%Q~IfndzT6X4~v zrv%soEue*O7g9rE1yEN5`4Tvc`~gu$4Ei#qOeXtc=;&!_=XedN4r|Yf*#W?9{xeFn5enoQ_sF=W%FW#RVRdIWQ8P36+3&NHc z{_&zo3HkUS-)tbB{MGGLX5T$q(EJzGBz&M-Aq$C!W+P$<>e6lHUy6g9*imAS>zL6v zO33+JvW`2hq`{Nn``LWcS7m660quy@LFpH8dfAl!E?V;>? z(&9n(p^HM|IWb+lel85iV);V=!59tmlUe_{1my7ooHsTUG1=}}JEH7ptEz%(o17L* zXd5Z#&|9G!uqyldRK_;!$yrK4mB{|-du=-|u1#{ovXO`xRn(yDZ5;@*P~9(Ig`(=SM1jAA>C%!*s!8p%9IK&*${}>AtUH3n9H*7G+#=~K)0@$|!=jejEsLoduHUIP* ztUeM~*KZaL(IW$d`LYcWZS$?2Ll3G)d3H}%)?!W4(a7RObps#^r%W<5%+bF)F$k3N zvu+JkyDsCj9XHfA_(t0^#YFNzM9ZdA@QOx{1U1H_Tt|=SfRsH=S-tigdE-|htR%_0 z6fPiBcQjdbD0_e-!tAR9T$OSAEH9^##(1qSM|?NqVx)mVUrW=qQAt|(7&RBs&>M=e zujMPFG`|e~EFms-dYwwlV~yv?U!1#D%!mnaFy;j0vjMTxkg8wQ1#`){ffOVUiqg-$ z1yXqB6j*^4iRB!m%bwiQ>r+DdU2Pm6><$?@zB4;r#!G2$K(KF1o}D39+Z`amT!O$# zc!5-rC2iSHsV`P6F1jyMgnn*5H;yn|da0)1U@-vV8+>nYU~fwXlbHaNja9>d#WMuU z0MT!ju!%0Ch$97yFALZMGhjjgq906Le3rsG-BEv~#^Nb@@&3qt0fveLxC)t)g-7#; zZkg>%q3YAp8wcWDqh6o{Px8Ry z3e;Sd|LpgcC7Ep981$yx3kPiGdbR%oCZd{3_=V zIzs0U{|l#VNZ)#9GfTBN^t7l(C?@#*cXye=wq!S#X}}KTR%HQ)q4RSs=WzG_&E#{J z%o^lXUjJ0f)oa|OiWT>y&`8*n8#Mjih9emo?`5ZJ`Wr9)`%(u|NPmjv|86t>&!)vx zkg=-yUw9#~Dia0Z7>VqeX_I0RCJ2k>fCUp^5eA0EUSLtUSPN!8a}J5;vB_Nup;HU~ zatgIX^rseVEmMM#CEnRu1WtkNY4E8H(P4q@|IxJi7So?hEN|sxh5f;JkpN2$E95fa zKUhehQ=3}~jZ&($72C*O{O;@jW7hPhNSDXTck9MR%METv0382Vt$vC;%u#`J%UFKn zmhG~o1$rej)X)a1N42ThJ6riq3}x|$EQ-`wkcBI1Cp|0VLSSm3f+8g`YHVsotylVo zg!I{By3|_p@H3*(4G}Y+wNX~l5n|;cp{CP^7mE!4kR4Z?vfYW!rcO0Qk2+-Y>&$$J z`Yw8-iN@5JzWJ#AA1meJ$WBn^269J#41Wp(L9OKe#~P&n@x9t>$EE8D7tJ4<6N}g+ z?!K7mhc0h~yVCo+9}4_~pP~ya$n3P))H;{tRG^;o2~%-L=`(B+KqPh+7zquDhkhpyaTE^p~a%QXOj;zMq_=7D>$>R^5V`>_IsOEob zSNtEQ{a*lu=_l4JOpAl&yM2x8k}ueRPh!URJZ)d5F&!=O zMq?fa>H@xTc3k86xc|y*`pH)2vCXVxa1QYK$DQLQ>E*-E-i;B3AnB9Qu9d?dnU8`0 rrE>o48KtiAZvQ>==a!wCvnPZ*)NiPn96UVE0Y54Vn)0t;cb@)#$*6kh literal 39656 zcmaI7bwE^Iw>Ug71H&i`2ny0eBOnM8I@Hi5A)p{q(%qr-016m{bax4o64EG2cbA}o zba&404EVhFx!=9_`$OlPy;twGSL}TVQB#p4xI+-jpfs;z$*r10001702m9ukPApGy0jy}(M;U8@f>6V zzyQwxabP^E>gxqlGt*HF8!!Jk$QXbG(BX7U03CVXP5=f2vXXLaQ8lt`-oQvXeKly= zJqKA}K;{4ulc6&#ae(86f|pzXMSv+_T)nvOLKr{=6XX69aTkEQX-e0+j8h8{$u)pf z#oQ5qqSuO*Tn2b(*aXD8P#H^H8cWkm#^IZk^H%`)9AvIlFn`C~xQYXE{tIex)_d}W=m z3jt^ffMMYszsfp(zoY|<10(YB71}k^z-rQn$X$$>U5b(Xwa+;;?h=dRiKU=R2!JJH zkF~A1TN?nWp7O&dITx#!)8C~G)+WWeMX8IriUtTV8WkLd2`I*B%VRJi?NylP^A>*6 zjTj7O1Jjz`ixDBgSP)1mH26sZk5M#cDfhofwnc zLCk{#n|X+#JcNCcFvz8V`FhpwFaQYSRo}US!NiVXFwgJo(4=B8_a^;L+QX~b0O4T{ z@=u&WAc||)e>feiJK`WvY`TK9gywVowX?7QW`&rAm*4sZ6=I7#BD3OaSE~(CPi;6j z*JGY3X(;Rx!Q5TAcbDV@-bgwVPP`{jTgiEPJ4)AlYR-jD3Fk$5$W1#5_xF6aEsAs^ z^Kphq57@SUloxx($vuA_nEO<^+S5z?5vAR_*?Cu2_YY6A^Kz-m-kFxN&+?(Bwx|;%b--MW=f}|>`GaKlGw5Pu%dH#+KM%<86iR|8XGxX%+VrE+?F8<{ z3x8)2qDoyx8>Hc%_&gJ~&X9mp3C$ORm~Y{$-(B2KA;(=|pfaB_gk|AtB{z(uu|15{ zDP|X7zZVWtzl-=#jenPGQD%%2oB_I$c=i2ta6TnTMG5}-CVlUd!G@}b3}Y)roZ`e{ zG@tP?A?+^hVR&BTt=s0-uMA=lKbj_;I7 zP87M&{ivA`XHxp_-J5OtyG6y#?&c~KJhtHmNmllggfObn!bAK^OTc(e|;jNg0 zvUaMp4O5gR$eQG(z>wkAkl~KN%}EPJoQF7j_F%{5ZD$T+CcK9@-5WBl`%rcld}+Kw z%>bU#>w*Sg>TyMSCqZQ?P1KonH~>>9ah*;NOep0nL8_`@-FJ{5czf9J{$ow|KU3qa z=Docs4Why)`<(9kvN3xW0rM6Lsp3a!99!z=`YRzZVbkMJg`c9CQ#`7gal>24h7517 zJ|{~1MX4Q-v9mwO39a9K-&$ks_l}`vTt(biG$3kwfQxrT%0)=Dy{O-#6s%c{rXFW} zmQ8h2yVo!87j3z;1(^1H`gkYx3)0#lNq>Q$h(UTqhC=(#5UPOPSIVu$Bc)VgNY<>at&C@B;y)w)C>-q;}#(r^6 z1k<4~qf$sqR|}5xyey+xjPgiRK%DXjibv>;{_^ldOXO9Z0U1PyUzZd~c{|lyz?VP% z%!$wBtPtLdEvufs6YoA{S^OZ}E0mxsl;Bq!nq~j`2|s_3e_1zxYmkaiRwu+Hrmv04 z#KMMXplGOt>TM9;J!==DvJ(94XGDK29utwHnG?|hZFmZTQZ}N7L>*tL*gd{3eno9q z*;)~0trop0&*(0ckY}=<-Q8p}9yA-7b$PFkG34=p6gG1a6*M!3o7^qI|BPri8~aH$ z^h*5edz&|ysCOl<=OQuh76MY;kx(c!nFqN+TQ_(#dongfBX4)N|4bkGg2Wy%2&BUd|!I`(vCCUXW=w)bcfYEd0|S z?Owxcq`n!A6Je`2xE236u+)=yeqNg7U>rqw(Mo!-j2az#r`Au4#>sZii4VTvUNh5K zld1nqRSm7ZuYw}9Ta|sXv!!t5XvqATc?b7g>w2KHt~z*f)x>fSrHQjd+x&W+4{YQY zy&LcQ^ZVVi{vec%G72j)g!i*!{AsLMplj3tBe>;-j}gl-Rhzi?{&!LDeAV(pEju7q zkWl-_&GVcd!ea2+==6jZmtpn z-qfvDZFI-?e*bKmjz7H*W49KQ*LWMFxUo_}bx7@X?e0hP^m|dyyK7csVoylGY9n6Z zIl;fQ$_+)q8ISQiUn1}Uiy@S;yl;-AQOOh+9rB}3x z9^bRB()*NVKNG7{9lO4|npqypH!13QJi*bIB&ba=FhqTc|5$zTd9bZqLTkx=YBLs@ zd?_2@0g+c-pL<`n+#!3c9))bFWKu4An4)7^7}PfMBP1p6y9a(q-H^N9N%^4Gqoo_@3;jm$=n>DQuT}wt<;Bc=Eo*A1MJmFL|+tU zx?&btGyJKl9dq!njL7}II_}ZMF(rirkf1qAC#gj8&DD`Nnx1gg+Epg zj=4e@nNztYAK;&408QY|Ce;7%EO)Ph5@d85y7ZW&fkPA*fP$By1-dptY?pyL=^iA$H85ZOyMkW_uW430r#J%6Q zp9uDn2=m@}tBEE>-URm|F+!pFrN>xe4D7wikKT_~Q zi)o}`vS8fyMOmUI^Crh)_xwcs1e>SV&ZM?)&t1>LZ>21F1+GaFYOfsw?T3LBZ;ib) zwg#US)2C{e!uUP0+_ zd74gCD(hTHJ)HM{x|^#`N8UXc&<{07Nkh~+07HFwxURId-2~qW#%H{)o&)p$lKR`2 zcj=8q$#OIN^Q>PC^h6GnP3T!yc*s_c8;%5BORsoOTRu}n0oa>vK6E&+qxU&u5lTy% zn9WEIy8prwC*Qdl=Qi*Bp&u-}32)8VSZPPV>);^!y;?^N8(~ic{LJ>l`A^sOw z62p);O;XVncK(@D?i7E}w74X|vgk+WDTn(FUGgvPA}&dSX6xdsLlH)l^7R}x{x1=( zAjTN z#YngBjX`!0uDnCIC0ndb`3u{In56h^6#)r|1FE+t#fMp(XYKapes>kI`Ffngu}m#! zb*6vPwyXr!fd+r5Qfy3S=N!cMKukPFC)x? zqgwd*mxfI@)Keq3l+nSa76XYYxxR(O&)W!8!2V*Zxs&C+>%fLZErX=F!1-F4aOJca zym*@B>3L5(2lk(Hcd4(am&1Oc!3q7iLVc4D>8FzQagnsT%rhEV;U1P`vysFvunR;w z)g{^iOVA{I=zEMOB>lXob_{IJmTNK4N1}HmcmBS$`&38rDPjNa(8tE^dm(Gb8effMh4rqv30-P3Z;F!t{^bnp3(bARF3$A0#e zor5EflPpE#oF#FV{yThu=Hfp{^7jM^WYxmn6O!H%X-a?^1h)QL7FoSkH1G69$^pZs5om7RfE2o9+ zasJpo{9)G>$A|~zf6Ze7jrcVCopr{kr8s9p=icY-T>SD`zcQG5rFpPJa4dSr#$Udz zjSl)L4Yv7+$PfFv%QZ8|=9EF%RpRWY~ zkHCnzwK7PfkuZzA#P`II%!U?l*?bwYuDWd`Bp+{eM$BpeG8*7npWnKqClar5UH-Nq zX8$3NR8+lACZfXcY!d1XAjpwb4>tdhwwL;}lRai}F)pW^fI6pLE)|bf`*UOY0!>2d z6PDwJ3?q>2f64G$8D;j2%qG>qSfR3Q28nq3t8q_uF_PXm*(Ryc@b>1+1kX4rFiF5L z`J1fq@Mp7UGR1}~vhju7WB93{v0JhZ~OBQkvvI-81u@>!?ailm8e$zAj<4ylQJ`j_fPk7N^P!Gg^m z5@cOlG7BdJ5d!6Tu(pXfZx%?Rq4MtPBbs_LB15TM_qXn@Q@VJ?&&3?Cj4P z{<~NMS*ma0-{7s9ORlWeEPteE+%zD`({4nPJRvNPaJXcA&ZmV<@hqrEmhyRhA%qpoE4tucP$ zlvPjyn;I?DdE11XuJ2XDg0)|*EhILDdD|5snuMAgh{`}-=(ApGjM@vQv~Q`F_Ah8bscwczXs&Cn`u!x z&u#sk&-}U#9c-0Jye0|r!6ZM4>!?2V?^0W>Pvj$S$=6d|opRjI!^1Av9!)AfkXH7^ zJagy`4zg19cq~-=nn((p)>R*WVM8r@k3N+hCekouGY=4m4bSnnmtqpup9pbZ_ zx}o9;&2zAkm`^zLeQ&$6#PTrvFvP!Ck{WgXiploP&#&JM*{!GFT%*y@NI+fTfeudP zbJI`NbLSW{VpDEcDA^f%R%HFP+7Q-oH}S^sZ8)<#XE6Q5{i-hqc#c?~H1<!wyOq62717dUOSp#s-6-U7VC|%*yHEr=j^;26_Wl>sh4VL` zKRTKOR#Zp8i_D^(crRe|n5TJz#2+BQ7kiUfWsfs<+dfmd1Qc60Mwq|Tge}pEJ9t8U zO0&psjWJy$q2b_3$SwI~DBR*jiSHvH$K6|rvk``v52qRcVeYS3YQV}_t?HApUl{xn z$&l>2-_T`t!cR;CT@)CAq#c4dAwA@Yvx7X>*v-~G4{VL!D2Ka`+zHAwVCZ`cq=F4P z+B05%nNz24F;?8Wco)$bK$6w#L|K*L0wHQJoHedKB2$?k(6V-QQr1WD=Is1woViEj6vC z)W&}#6<6;XkZ95wCXiv){`ngfY9lu|<&_`L_AB3U3-}u1%ctbOu3!0%?uEvDs75x7 z4k*!?3zZFL@Q1s_aMUAjr16X)pW5Jt28#d^N&$IAa0XH>1vC+juKY!?3HP6Aj>>lq zjNX6OsDyn80CQBc9UC*8-S(TRj;zu0`O`+AE+}A4m7V-yySS$^OUe`g0_x6an&4_ur z?GI0ed6U&ev62V?MFxdBvms`rRN276Z6Cig`q1)8__OJ8JF+O;lPWl%IgsqInReWW z%#g93Fe|hV5|*S@d{Sg5*sMO{t!`D7V0b>=%xS2scGeYD?{eKFOP~%GZbm8QxM4N{ z0b(I*G;4Lu1AQGm?pB|Ljs{C-Q=GGr)II;z@gI6txF?^efpRSTczM}T*}r<6E)ExWN;>b;ONy$_@pz z7|ruA*`k&8qy{-rocvh9=Dpo_E6#^4=WnX$r2Sy}B}nrt0!yVnEx+%L-?&ESU64b1 zo#L?N&r8$ZM6m{^KbYk)2*Z=RpFGIke#_M*l-=Igbhv}8hi4=9zOaUi?0x&1;dR$u zc|Sx-6cxzuq)q?#O8ZyceD7Z-YE+@Y$K?k5c?M)%{zIJ!D0{Qv6W#e#n)cG^-wFOh zXiF5t!Q<(+G&K%2DYp1~Wsl4DVwv$2qSO<5)l!*kQ8?gRvNf4Y(3=FqD~x`0ox-;M zbJQf*U_c;s@R`d*I7r@==~LS#@(V)qCKW zwzPvmUhgC9&$@w33m@Zpb@(xVbN({=W&qSP>BZkV9%&vtbp74NRDE?Np?rNIEJ?>S zaN$)hHvDuEGEe(n0cv2z^51WNaLCtRdFRwB?jL+ho@w#9df1y#_={R&pGJrGG?yNamh$ICx+#oKlN|y9Wz z$@wK5a#EE^5>{zkbZ$D65gL&LID51RL{#H(3BUYNQBE-Y zO=*}hQlH;eqolIh&a2>dqBpU@K`)aA5!f}s-!IQ>mg!<|pmaD{P*H3;fb z3EMr(IG(WbCi1|)3|%fcu%1?U1cl!=~m#00MLH4Yy64)8=y{~m4JSvXH2K7)JX zj6d@^TRj^CJcN8~6_I@7t+}xcH&?@#Zrs@OkNuZ=#6?Ww^A0TDr zi)hMtiXj<{nz#cde2OJe zJoOz9|MXy4g&s&U8=p99D8`a}ho<=&4`qRK}?dPm_bcetVpSKU?i=NGEk5LQ>B z{rIW&z_)hJCPkX~@*pM)>7?PwL5e7jv`nJ-Fgp^;!Ihy=0$Fjk`1JFx5_awGL{fk^ zOP137?gxR4&v<0UbH%>N1(7O^Jdu!h4I*2UcE|74kUquEHcw58k1TPc{WO1lKh{|o z>)OHh^558Q5bm^Zv_CqLTy!fuCX#32|Qi_&^$b%yO8tiENaQYuldvc$*qR zIeL|*MIM!xJ5zgKY~A%Z1ESSD%+CA{5@;kcxS~)sGMHK4VB7Gidw;ZcD4RQwdYT)f z3^VC0`x*Y6kZf3ZU2hx(FngjGuT2@Uq@XR@{~?1U{5a9%OqiFYSgWqx4tqVKK{0pH`CAoIytgez~nnK4Y>DP$nNJ=^}pzr^BX({hrwN+-A% z9O2jZrGvqe8R5cGsI6i4c%dzx?D`tx2ZvwNUlr3%f$6d|b2}1~q_Pm5#xiW52VjyqPxUy8w!ezbN#+v zv4{K^{YATRBe@NKypCttDn#Xvi-0g&AKh@{r}PGboiDM5R@FD7#A8c`1@pH9@J=@v z5&Y6`>I%~?7H%}qfCeVsZv7@+^*Qwf#EzKr3v;6aV$zvuu=Pye07+Ss1yvxMx{3BH zQgA1Gy2Z7OOM-mEAt~8YvGSCh}y_B z8qd*g+vr;)RE*yu{1xxZxKj*Mh&l%#ekULlH+ed7p}f-YDq-5y@nYeF$t;HTk2gNa zFyC?HK6#`RMxjUeo;8@XI^FHLyO83LNpLAv{CV7T)Zdu(@sAV zdY{Qq*Qn21!;)T$mgV80EVzBBqON+F`H`itHWPc#u6~w61z3taKjX!HC0(;Xuz-HM zf(JKZMtmZ{ZoZ~?|H)_aam6N`Uz4AhG` z^BB~WA9&CB{vQ5Wk@w|pi1T_oHO#7Q=(jOD`g>~qQ0kL#@A@W*w~#2TUpL7BvKs!Q+dB^=2P(ShgGuXL*S*J&hiWR$J5s+Zrc%s1 z@Ra6i;SNI&(pLqD%Ze#3HVfXOqxdn60uxSu0ntumgR75-xf^^!OGcS&$apS zEYA`1xTf6ZTwX{#+Hcpnxu!nQ^vx}FP2fgZ3d%jMnXj1oUNGk-VsO9|wTK%kHfq4E zhxT+2>N9$<>7gA<`drN?%=6~dk~F&rj`?6Z7ey{X7f!mVMDG-$ty+H;q4MM&h9fBs zhu}6uLE|((oQ7OB5Qqe0X{3uwudIb?lzU#Qd4Mzz$p)YM)bPl8N&d$4wc z|4pVpV5RO`KiOTTQuTI9VNscUa}@y>D>lyCj3Df)UyocreaR~{A|O<$p-N8kgd%)f z{)(lyK@^BU4@#|xd%tYr+x+42j^n`5-qhGfn?7g9vSyXhd{XT+;r3%f4ha?ql3WX> zVE0O~jb8l@MN*nXQu*?>R5wj!8r<}k2+P-d)_bQh=sm9|*Obg9iXl2F&^2((J&5FJ zGi$V=YVSF+p3vT0W@BpUEL~6he$;O-CAuL7G~OSu86s|u1sm#IXw_gNAU=Ki>?qK`!)_XBA&yC%SRUkI`v3Cuq?mLl znu#lOlc`qAAyY&a)+Xtu4hs!sv}z6u4HYcH)AY|<%^)3n;T*`xge-Y+JB?J@R47PF z{99SR8Z1MS#exxlP=h59L?bv>9^ocUzlH?L`YEDt^B~HBiX=BUB*@Z9rC%zNgmrrd z`&|r(>~`UpjlFqnNmy|IRO#@#H*8)V!H=6n7Vc+z3*8Xd zKTbC4fBpbJcUzdIde!+G0|^xl2M%m3hLljH)=qU8wP%=7Oxx#9)t1`eXNdE6Hi7hT zomdso7%8D8$;8pj0SNph#1Sh`wuItluMZFTwT-ODyJpJ-s0r+3Sz-#}16=x-5|>8ce#iTyh`Oiog*`sOJ5aPA#6}nP-Gg*!TDTuy=<~>vQssB^y}spg zS~-nAs-X01X{3PI?C8@8VXcbE%+}7wo(~H?dfGm}>9SUztpVtziA!4YkR_a3EE7Up z)6OaJoy>yNnd~2>nnSi0+_-bx&Vm(71l-hM53VkczRQC=HKvG0eM);(e=&@LZQ8Yj zW56-ixRkL0SU?Tf2qE9&B9+Y}Otu=V5-v`@AK@L5M~Zde*wR@of0f#RR7zPa6Y6Omlj4q1c0ZJ z+7NL_m?HQ=mvZDmqS1XI6W!1}#gYk8&xq=YHl^UZx_jiKeXYCvGI4qCFPlU({X0+= zAUIQQ}EdqQlNQ{{(% zeR4s)j&PM8MxZ>lF~^Sc9w?e_@Yco}S%&Sg=D&@Q1?s0fw`ENjvsU~4TA31qqlnD# zdwBE_;OI0y5-Pv9vFJ0PgaHB-GlHMNy&9br;itAVF;eXLK9}ne%w+zGqT#`sSu`l& znfQG*7|_Vzv^}RB6DK9nA;9vwD9|iy@*uEdySkG(TAqEYJAtu4A^6{*gir8htfT-@ zE+DI(&-9-)xstKOz5Qnzrr_f4b%fAjTDohuO zMSjUGJ9CA?WuGR>(4_c^=e_8)5A;|40P|iNV9!Pyw%Qe*7O=%7PX!~`8&>Lp?}hSs zvhi(-FYT(jB=oIVIx>fJ^@j=AQ19D%gcC;_zOLW2o2xyouxMJEooWXyZT7uVAah@g z?8d#+!1vWvpa3z@Nc!4keFTJ+^wV*MYhF|xBNWEuxas<^)lY9ZB;0HQvvv-xUcFe% zeH{ZzZ?GCKm-4v6?(9a88_1P^8ccc^Op^yu`YfzBbSC=O=H;`)Q~O4WE1Soo^?exR zgUA^?Z#>Cd5lL7JRE61?^2qYLar;LCf*afid(3AIIzJMJqYQPrJ=e~N?d%T`l%XxB zZ>K(fn+K&QHw-Cz){3uXDmuKlMO{aFNI|U~Cd5)bq(q?U|HMHaTa~vxS3LjOdj3TA zTq;EkH@!nhj?!(yIDJm1KEpO=>CA!j~ZcGC&eGcDl`#r zISO!kX*#JUz9cw&D~eR{PB^c&yDIYQ&x)Jp)=O;LLib$Ac;A0*ex|j+EjCSvb&Ws2 z=YM=2JdrxdDmuMUi^67c=MpQc=p>7#ie2v`GFASXzMqS@2!26L|M1d5ZujTc5|}Dl zUSho>y)^WW#N^QkAWTd(FbVgmzOD^{KiZRm1@}M!Jsg97 zv}$m_~Z*i_dQ7jny0by@rYi zJrcz`OK=l^BQ`Em%|EIUaMR;Ba3HyV)~%7zM>Tp>^tC(b)C!eB5Zj4 zA8R_NG>dBL?O5Z=S5aQQzGQizBGltQfn}x-`(Dj&C+b`1qZ%-1y>QG%`ScgbMVVDc znpdvW^R@$%PAJBb_qE5f{h?~F;)`8leV^Rx1n-D0LPpx>^Hr^w7uUwb1E#;Qov8{3 zrexK)Qw%&kjaT=5J){uZE&L4cqVh?O9vw_~vz)>Ykm^U5;{A7CHa^+DOYsl;i;D6p z@Hc95oX&S`4*J;O=)ST43Nww&2i(3Mpv&-dSwsIdEb4n_(>c(&Nn$IZ%TQ#j!Nk(` zIb%*}-oUEkXkVt}))-XuE+_~9`0sJ35Eu4QEAgU1(TO;G7tDRH4JO!|AbpXS55!U6qk8@)sq_0yWRuBVoM>^YAjBdJ9;yV!UX$M9|X81*mSx5NE=AU)~SWG~ku^|T|n%$Wft4~6lEo)Wa9wZAQOPtyQrp=5ak}wlJDBD^L3?3qlkTj$DusKS62&iv^8-S z<8c=2{|grJ!egRQL*R_Z_x#~fKI!U8Ht1+(a$|~_$54+DpzC1|BpMK9Ayc<#d65DMggpUy-*m`-Msfy)S_`z z&N_J|^Z`q_AsqoxiH(BsY|A}EE;z7$WzK!1<*yta}58-I0aSn6m z!2!YrV7R|&`rpE;!6Z%89dhj_VNmJM8$vK_u75y<8;vkoU7Z#_HcDDrJxrh7M*l-k zqHT;wrS>>lOqgYvb>aBLF!v3)Z!zva^hrFgrJui`pY>gWO~C)=X9cOW%fS18NpO*p zvBF-K9azIEme^ei43sTce4vWQN`)=z21xRN14zL9C>Cunpktt(CT`LM&_}+yoO^`s zBe?)h;~=5(zrfbSla#t7_W@-=aJg7F2#6$r#ZrTjZvOf<@Xzp_Z~CG_H4X;>=muA# zp=4cm=(zl($)5vdg^N4l*-Xjxbo?n7ms9|{Qj~veEkB~U6fSI zP|-nLljR=mlD+$#q(e=hI{3@Eg~J*052+8h=?oX^B=HEr54li^U}<8~oo#)pm_w=Y zG3cM^;KXX-GvvE2H1VFfL;oX|>Z(m3_58(fj7%S_+EUp)T}+194U=6@qQCK1jZ*iM1!thG8A71pj|7 z;1@VM4#PJ!SX+-23qrUzOc=A9${-IM)SCMi?+Bf92ExV_&IjmSk-l`#B;6u>ckVWx z2KoNREPw-7Ng79wl#&|*N;c_T;fG-ux78W(GvdB8Q=`p|b826(grr-LZ>IC6b>MlK z0cn}@={WlQJX5^nhNK(m7N{`@!64j@$zi$+mUN2;z7%Ds1=6B8$}NfB{hU=YaFsQ6 z^UuSw-zKL`Xv7LLq>zE!l?({&DRb7aVfe&T3aD6~4+p&6W z^savV5lK6e^fYzvx9S(0n+quTh!(waI7!)%n_mM0w8Te)19%43&zE1Syc3Y8MJQ)Y zxf<;|42X5;v56i2Nhbaw6&LQN)#}wh*~8*5 ziH0Yw(lhbD^zq||^`m>vx3(VTIuCB_?RyRsWbe>Fsh)HSPn~^wb75uP`5dKNfSD z?RdP(13m*;@;?hxDa2f-y?Ps~Tl|Bn)bh=OCD0jY+;tJ!RwM;@9PKeq*-9UA_?5X7 zYh5<2<|FU=>*2FJA?Q1j@kKMc9v-3$SIp+N%|CRyZZU~X*L^pRGbUh z`;PkUAI|zPz$|*seHfOePaeNIQ!x;KjdM1S=ImBt# z^5y9!rdf%^(@8q(VM&TymdF54oIPUuqo@D?Iv6Dz$WaL;pPz5NgDlu-2Zt>CncRx` zvC`y|=I_><^y*aEwL{sUm^l%yty=__-UBx!TpB_&yoXc&zw zYUJ71lBHe>pCeOK-jCph0Hb0?c&UbNZ`{C;$XP$M0XcHwzD&rCv4!Isb8p?0~E?{Q`jhT z_JYMScGz}gHRe37r&btQG}UaCo=t?ER}nOezh~8ZTGzolVnfT8v-?@aS#2K_@ZK1(tm@0;72nP|#r&qYA z+ivx*Q!lEvE%15!Z)0!8?gQtm&pUaL2r2~a`B-av)1tIb;mL|BMv?y6PPrq_x3Itv zE@F>Ae^3pte1BAYL^@=2*Y)*Z+%(*{!>16hm-_7d81&$|*ud5v>C^{6v&*Xn_?)%w zhx~i-zHRn97o02H%m{Z-1M<(~wQkImvb;>@+&JAsn<7g}I`01qE0HlJ?IW_pw=iH1 z4`|ry9@NV|{z?0^(lKUFpG|fPFg5o4l%`E+{;*}Hw@mNiHez7fsWuvD>o@t#*C|M$ z1yZ~l<{!hX9myMwIjc$@7L)a&f5`zQ2su3lwA&jLBXw^iCf~&J>7u0F zJSsj9{Wt}e5+|XbdwTcso`ZAE2f4JQr?+1e>RGMTeW8FLdD#}yC2dZ)^7Ic^_dd8h zhK=Ha?8=u7jQ7)7M9jBm_X9#SKWXg=pLmq(8a*cqce($JZdwXrw#6p{{ZMDDG&<)S z(?lExpL{`R%5BQ6q@I5G*(KRIp#9d!)R+&wjVW+ShoaR+XJ*b|K5{vbNNpcieR@49 zrTrua{Cdf+^3^nZxPO-6d$uJBr$m$O@L?~6OH2v0C)MX^en8+TR5K}6#TwLU#Ifl2 zXg{L{&Lwg?!Y}3X{cwA|d+I*5WpEKfZa#0)PD;e2aqRmnjDg-bLIg)aA_e}oZ?*E* zt$c92o%js3)&P1FSsHvVVwq;f#cq}KCa&P`heTBchJ3pNR0S?uJUgGwP+QKW9dvH< zy&)%&0i_FcbopR?qC0mJ!J^L2r|Sn+GU@QV@hX*#S7ZBW^}~WI#aZnFv-c`rB5slJ zMqXX00lSMAyvU_SFM6XcX=o~Pa zm024Uad(01vBI9DN49Nh^BZn_1@y8Xwfy7U3~h=f!EZkFnmf!QjtfR`mOEwD*9r8% z>Ga|2S-uUmo%U2}T`=vsv`G6}gX}RrrPV2c3O5{h1S-fLJrme(BJbWFZi~An87|iI*{?R+uPGib&RTv#7XwV(AYz85}`{pp5TH0>2vuDN+#JXRB06Yx_y^e~w^hVE)MO2}EF z_ieDS=L$&|_-KTXriH|%9ZH$rrtX>daj7_bvFW*+X2u269HhesDTdTADlbtUmyeW^ z(ACBwGjyMT+Mna5_wZEk>(n>N1pCgvLI@RuGERx7t?6Tl1OGU_q<*x6>P^akj)|7f zx^u5}t>3~G!|_jH8-L`!Uv>kjc5yWV?D>M7f>ef*w|t`^zn4)j5H7B#53zW(r%W>; z(dD=psy!?b&7w&doTM%I_Br1h0Ja3LDyfZGZlER>0x9~@O`iM-OY`Q z2!bbl7BZSo_2xn^SYd2`R~?_E7kazw?=mguTeoyB(1+x1(tUB6{Rn9!+u$uGYO6Q9 zh+#WN+l%rQAO)tMGO;LCSaBAf=_v{(CW=(QjoQKvHqqnlcHPpTJ?u$V*r52jkrD@g zo;s^Pv2lWgyyxa_wAxSYOl5VW_oNL!B%VLuoMZtz6;oaWb1!MA=2f8653fh+5D0VD zy>&gp>BdZZ&|FdsKQE*;cu>QY+6ij67Z6WNFhtJQ)-CyEli3?04aFq(6TqKGfh7WR zl2{J7@$?#y-^#S)Ch3}uMi!u0KCWvRs4;yz3t(lV*my3bo%MT6+W zxiJrX9zku^yce6Ov;IXY10dDEFNafL*@Qf6QZ)hM=$AL#Xz?qH@fw8-D^6L-iHa%&y!XLa(pdUbzoQXYc_(s_mLq z&Kur#>t}jCC;^1$_1(6Vle;}QJ6clPJ+hvccjVCRkAP)R(J$6xXnMc>B9Ft~HQg$q z*|C4?mV0+Ja0l2nC9L8gH7}`?MtzX#dhGVxA}D4DW$^^KVZX$_Eo z>6m74Rvi<@R|Z!42?SSs8xu6SICx^|$C*1!;~Y32nGxs_J={KgK%eOvW*p#y`oQTr zOg*f8WN(_5*^1)wb8(k3&OzQ$Ur54{?DW6LG~G=S4-{Mw^`;tHE`}TuwV&5?Cz~f2 z%isvPL7e)49v!`|pl%GU|^S??kna)`=w7vEO8lJi27ie;Lf+&{}Rj#0=9ZRDx0l%;pYqGl` z>f%ILlpHE0N0EW|*qPFU5z#71EC>3>$~6vi23$ZKg+wyz@!7TAz`bhM}hZOL+TG?HdD5p~~S3`!qJ@9{=PLD~v$%#c1 zuOW+G`@y@jrprr7pyC*nSD-BkT6QF1diFln4|}L3fWp=pwX%v!e!d?e0G(a%mPCVf zRumj`v5o&Sz8noil8_yv4-qp0lC;6FGn7~O230z|CC~>U*5>|B4QeELNh}Y{n@%50 zysgJHgpMm5B@W-)yh)3Aqg_m&cMG$OxsGN(z1~R#&}dgVMrSfXj5aefAI+>$5ig@2 zxb+jC&S5_vf7`?p=JT@ePa3?%gyPKahkS^ks}J)R!F2+F{J4bp=G9@e_;*E9pIiSm z2ArjIU(2H&t7F1qvGrc{Chok~l4Mv+;EG@sexVTg8OY8ZxD|aI1VD_eK>J<*@qRcR9uB$$fS;jE^T0$wK52aU@F+|u#`r?-G``)- z!M{I4=vPwWYVmL3HnPMQM#y`R296b7M(w20V;@fdxWEe%eCZt|K|%M1LenEE-My zn!HVNv<@!PHWP;|xdJSgO($_%;9(!R@gDfs4Hp?*u&dz>ticoLtKFIOXgatI?eD}K z`JJ{q1YaU-9$%VfG=vjt*V;vBg9!4tmf*V|vdSh2jIt5Zbm>LOjj<{A=Rx?;g(xpVc}K z*|FXRNj|*-zydpUZg10NlEXbz(n2X6Zu5rd1Pv96)4ex3Of6#?z(u#4(=P>}{1jA& zEwUIZ9Lyd-NG8`K_eNe7VM0UzJo8r?SkhAtouDSb(!=UA+>sb5GLU%_HPpGA! zyJG42aBU<^{{7SXNOs6yzTb#VTu`#23roqF=Ys0R;DF}z^9Sg@7)jbOa$swINrG1g zS?_>77V=!YeW~+DabPLNTEu~s*O!|rXZO%GC;(3QdGgpyN%7+I>vi6<3LmGWOFaZ_-hT!5 zm`r5$D)DcO)KM6zou_!lY5V1(<_Wu58R%m;X4s;Qb0`F28Z8b+4E@7*K5VD1OWK3= z;vfYSdB3}{Mae7!)+K6i)sMQ^6A82yRFD=MjgDRGfDX6M6H?%=w!+JD_YXi*8=}>z zh~8^$wqAYmeRfa|Da5;COdM0(Jqp)}{%T5bpR%5nE=o$P{0#+Pl)ud%T$>LBbN4h&Q;Z|RGdF3%>%upLfXYz?WQocg>$c~8wSQvS@- z;EOAHeP?;6$$w^HKWWl6Cq3q_po)WeIPflEj!E^i8)c z+M^NFUTCCBc%LW3U*m5j1ZIx-pL<9}kOIIl$>u9>jImxb5&+%c+!Rfw%ly*U{KyDW zb61+8JbynKPa783rFXeE+rd$P-5Dy(_YLdnU&CVoDo(O|TG5tWZ0YCPo#J}u!Ne>9 zS6OTqHdJ+2xE-5PX^+J~aJq-961^_MS$nPnwwrsrHn(ePre0v~>8sbb?hQmtmMwK; z4n0hwbUqS}+^0*Bob(thUd|}cP}S!uRLHkY17Kf?z`9!6s)+DUN@6XkL=*(fe%FR8 zm~weLurYy88_fFeH!p<4|78;6P;pL)bcZY6L*MktqLr_1_jxD))AqB3% z)P^065%Y^2ZR~h=5^SExnLP>)NX(F*gE|CmOm4^`+K~#Sh2`p%UQnyRV{z$^aLFcD z_F$FuJMK>VOkB|5qGOd^32|X4+RR0 zh=|i(I|CRtY*<*#hCkeb4eucNGiQX+u=r<_Wr|ZX6&g(lvT&cLw_-`+KfyaUW_KG{PxAb@lJcfj zX56UWqj}Nr03rNsZ^xOTE(Wx95BimNZX$E$n!DsG{NzL@C31e%@=RFnMO%ji%Z-9d z)?P1dY2WZKY}%fK6vyBonJKGcS2zDOjeo&$Z_>=L4|Y;F(CVHV;&E=f-S}~3HJR3n zt|F*pxFm9>b9#xVnRzC*_+DDq%&2(gaL1jNYL7|8E3WLZOK(mHknJCGeX^au&8D5# z?TwNYclt?MM3>KorB&xGHLSX|Yly?b`H=Ah-GcI*7-XSj)X{6Zmj$%ol!ui8F#e{T z<_fePZ_vxH5`0?n%ZKl6#U+g}!Quxib%WGwjm5=1Y43;35~Ozo6OMwFpDeteISqA2 zKr-mBs$d%z=k{cF-Ul!G#6eix#kx!QqEQQyGN=VxJ8XDq+^dgGGC#8(%@#!x|1G>* zC-XaDP;or*I!tk5u_G+?cY^ z)5(6~Ja~mF^W(FWUpgH7hAa9>$ih=DPX7hiL#ib4;c6Z;J5B`8k7}}^f=dTL`ZF3{ z2?@NoPkQ1QcP_dGs}~h%NDXPto=Jmfc;-z1&~;9(70$rkyy+WwT^aGrLE$Yqw6bfe z_FzG|>^nHz!Sawlls4JY8maskT@(Z@0h9RRLbj=By-lh6roWZF&T}T5?#%arr>gWw zyH_8Rl2)zG%omume$YWn7@fJ|16BM{KNQZrdXcPK8>@;wNSu=+C2{QWgz5s)PB_&) zOR>Lcgo}l!+3(FK^pD3AG(&YLy(u_{PJXZ*&Wxx*^(;X6@bN7Bi?4hzoE3>?!df&G zA5>bgD8h1$k|9}$oHSYInd;?cq<8*vBWUU zZu2*HoF);{{bQ@P!|S#o_VIa?U(;gBB5V=DYWifvMc=_+^Q{EsNJ;TvP8Hu_RXFn8 zdED1aj}(uk6K$CZx6e{-s+tUZ?>zl(F-in_B6w8w-hg=XW>5O7brPPys}eQ>z$f_H z@3g5SsuJPi*A0ogp?>THJz%e%e=e_=bG;{J+GCLWl(dkk3hH=xziP(` zwe8T3olek~9H(sqj3YQKS-asy{OXZB{}(*xS{A6*=#b8CvyF8LH;dT|U1q~b<6p+3 zK&6#JAtp9m*v`v?+7Q7(j~=daz}sdIN}iIqy1-Wa`ls&vqf}zg&i&F{m#YM?wpLy{ z+VfwELitie7JT%&*niNN5I50sO{IU4HqZZ>+56q0fm@Y)_Dvs4@2#!tyIL*34Fb(D z!1@CZ8Mgv&@u;5mxV3o$v2J@acD9}CtzojYm(0|KuiOv!nrdhj%Ftq;*^RDoo=W5m z&OdL(eyCA}ow&-9I45?@{<@pa&BN%M&ZmRYr(I>a&I7*%ImF4b4N}aOSxxCiH3gYz z^SrS{bAk6@-sqO`Cpa=`MTQKX1NBDJvzK|bCBj3d;=_$q5vDQ}#8tn<_67c|oqJdC z#L^4xUq9_(QA6?%i*|7d1gSWfq@*rZoNH)Qk=XA6+G|JrV@&>2pOOePKP{;<&M|ehPqFLfGY(DY41b5Ec?*`G3MB7 zEs{%=#2DL%gD#8(jphsxh!U6B3p=jgK6BR*W;Y zX{!Ub@t$alf_81o83*ZPxDyn1{hn0|)Xy^AU1Ao-u&Cfcw>y0TY3XhTbUDpG{ATZ6 zU$0ZbWA*>(hvL>0LT9KW)?Fmpu=NZJWMsR2dGGO6N@od_^+-ETP%!)*ZPm0lBNeno z^#per*u|#Q2qd}qxb=0nCGzUm$*2q*+q4u16!GjcKRE%_yzuPkMw;yp{Ff5l5(g7; z&VjxQ=IWyYpD|yGx-PAUQf_&CO?wZzkpLFNc>cdMEY-9x;HAU@-pDwA-5}+af(llz z&wJwy_ypUr4AIPCWDwA2)JkW+B)(K|rQ|(VdlT|1U^nr@NyLbktD?+(UsSU>*~;Xb z_+P|Tlt7!(w#Vuu8(Cn6k9-F#>b^f@dciEyqloS5>b3q7jB8PnHb!WTe=JM3RP6M1 zQG1z}-sf-S)h~Q7JavKs9H%(3HEA>CnK}wRyp?4;6REm;P`hE4f6Vz_R2TLRq2z88 zBdLStsv1+iFQ}EBoGc0p9H`#5yF8HcZ`E=eaf<5t{>F}T)$^G?Dz>@&_oYF__!4in!|&I9#D#i3-LrB z*{E}3SAWeZo?!*LW5qdVgq?!9LdjQ3WTRn!lbzw;wTBQ9r#C244cO0J-gE883)bG@~rtGdbo7(S}r{2ipkO@63x>&OPZSduoajJM_h zUVa0l;eg1-bGGZcahE^B=RPQ6%1W$nyfuoyr+Q@~ns3)Dh{7(e_{#wDC?VGyC6*&3 zSwKZloh-(Azr-9%zr<221byuy$$3Qmp=5IoX7`MkE&PDK{;Xn`LxSeLSngXS-W0e7 zMa}y;D0P|>7x_BX+5*=itsia)9mks+B_+~+VKzk79J^q&UG{W)x_W7K%XgZ6646VY z&VcyX@j5d&z}IpvrK2m?%=%s_h&xEepdFVT^;ZoNgfamlCv4Oa_SE}&&r9<=Ndp~I zL{9=q&wf<$`aBsP%iAv~$v+MGKO*ydOKFE!zgc^hDr*5+_XbP%Uv=IF02D|jy?tq; zkb=kG!I2~M^K;r(Vb=CC4LbfF289+YY zMZ2GfHe@Pb>X*L8eqRW9;@-`H_|sKbl-N~U!9R1nY;;d|c%eF*+frQq)rQ&f%qwf= zljDB~BqngseCnWEOA6@G|4<12_YTYO#~2R~mAD0&%C^Ta*FB~K92X*`04I?N|dSg6h#aH2` zUGXT+LfYSLRx6{8vGTsb17l5BsVIBQc5WxFsBuDlhT7uM?zEs&Fc>Y?JmfW$4VnXk z+~-la4%RLan+?4b_qS@Mhn|`E5eE{-{Kk??{0VIBBwW97JNGz44p5P%WvDLpkR9Oi zo;?^#cui@$^VEGyi2Eufm+5O9et2Q3CmyxC;Xd32jW}A$B)=%{`Zk14+CJQUY1n9X zTYi^1orX@jMsVc-ik;Itc;MG?nI#{uH=Xpc<;rpw%EQY&m)!k=msh-)DGy0g_OMV* zz|(0Z9Y44leT#Lqi8BXp@g*62Cx=1H zjHF*Y%jF$>WNCVs-P_bQwtH&yW>X=zIw&H9S;r75}CxGxH=>fVi-KfTc|tGqcr ztX9c-^ys#}wg7A6Mp2UC*p?(U;<1O5ml4%C{K6bg%Au^Tsq)Zd?rH!7*hGHn8I(>f z(sd|kwNwlz#XMM6s-Cp?oKT{W$atLpjd8;!Os~Wfl@V^u21e7+vuq(-vxQCC&DwV> zw9**f=L-3JF&=c%552l|BYIg$(VU&TqRt`Xp{Q>j z{@l2DXU~OF1xqBMEVe}P@>5n^IBK+=pgSmS!+otO0F3gMoQVW)MhriJn+Rv@y*iAAs!W@RuBFa%G`45qSRiF z@sqCapV-_vc_XfY+ZK_zS$%}2+UD3&+ZYho3#ulgb~hv!5Ee?}#z>l6UJYjJ(0~=Y zpc1vr*rdAw?uak|h|M5Ed1&F)c0U54`Pc#kr9g6ncLlTR;e? zOd?i~d8r~zZxX7^PI&?H6BW~ulMzD}c0{1}{4Z#coTc0}~t3MG(LyW95OXz~fygSxyw9uk?@w8dY}lpt^JtM)#Tyt~x? zYLu0<&zf7YZ2~d-^nG$yQBRkS62BsEm0E^v5D)+D=LjGqk4&BkI-KaEr$-0xVz_oa zTgDDcm(R!*n>Wh`wtWqme>^K8=UleEQn6!ad)(UD^M~|0LrIzmR}_*?I@i0zipw$6 zlcm5mwQ$H!Q92WqA^ULZyF5iZpX1iN4^A>!!cu^zljE*Yo8u5krsq@FZ57VfK-{Yi z8zykeX*4-}L`|-_JZxVVvT7JK;JtV0NbPEoI)2uA67gbfOkG&N>9U?{+lnA3`<4qj zIA?OOu}|RkG(uH?1&6(9C{_otz`S2M&}g@63zlj3TKfVj`011EZ_fkYju1!&amj)U z6+z<+{(_Q1XLcm|dbJq@F^A*~KjUdi(8EJ4uT{e>Z4t31(}>w<`wid3frhU0qC44Eu>xkDeOW*8uueTw;;;1_586R`lk$lArUptQ(L`vzOzH&&L z=gT`*t+Syl3-X)}l2Fje_*kY)E0?wXyo@tUpzX9hhi&;S&tv0pN$$f4!AAkq=(q|0 zv|jL<;UnL}E#LV~Af8-LnV;ZId{`~vW|cfJ=H2?HCw2p_jW=HqE1rIX%yZjTQu|!< z=HyFbZRvHh4pYT?qlcu0{+$}S;B5kV?-$v5U_QmK;(XaibF+TLCF+JaWT)%0AE78P z2J}(snzG7prh%`2nEZ6*%>RguJ2Gd2HrAon=g8E4)c2HbxAjrsyF)na82rG`)9@NK z&7T)e5;8oS0#>m4#{7NM55>LEPYbM-b0Ucx$P}j2R#LBE3SL08#|VMe(vn1wQ;%J^ zr3A`s3Ay5PN=4oyu^Aqy2*YdD!9f^K=I*)+UT+(X2~=(&Bt%|lQH(r1;MXmn6^V?v zsa?2`XDGM`XJTzcSZZXmv+Tb48R@6owEDI%Ls=j^Z^v(Fs*btN>$@RXl?JBQb634h zca=6^if;6HTc-Wtzh@*J-!2Q6Y@Aiek*J=%DYW_J?Z~K|fEAz3Fk(AWcsq}2HPWJ1 z3mvOn>v=L=^5AD_JEwQ^-`Oxq!)yJdn$_P*&X5yXXw%O#IuOhn5xm(Mvo{_uolwE7o;5;KU?`lku@4Y-+)Yw4A$s{(LLnhl# zml6JmLL^!SyfC~P-`h3yXU3=EZ4Y)o5oJh%g>K`X%KfyPLg&MRmf(+1FC-8=VmVW1 zR!`HqfHM>&voMRiqQcyoSIa~2@DS7ioFH@O=d>_mC7x`|drRl>OJbFzDf4_+6^|6U zw2q>()`ea*$DB^d^sPpuVW^uu4&zEe!wrEi+Ich z5hVeltKd2xael_)m9AKk6B`X@Dmv&HTSB`XCDueBQ|+u}@o?y+f`OFtn$pJP7UR?- z5zH|&jcr$B@dwT7Co+nMGrH&*Gg)^1$|#9?5GH^y$N=)EX(~W96R`xhf16I?o5FkI zU6P}uG57jvfU0v|K-`1VfkX1mBE?>k6r-cU% z^SFam#3HBMA{(%8b2NCA}2c{Pp&QFDqC6>c8{%* zPkJg%76?jL21Gw`7OTPwFignrkF zPI=s_;ATLfg{Io%k0MEi;ppeid`7lw=7i6i*r%rp52LM5Hufo`O@|fU&1Jw0uf&Et z-S%08*P&i7!wp1>imm6>EnK?{Chgyl`#*>xJx$kl%0H7fRt?q8T@!;`o*+E(_E zi(8m+3ZfPev;%AEcPW^KMZ|0kSeKCV1@nVRi@t0TM@UhP$#Jp)h4bpUG)S`}cKN1R z*kfsO%aoNz`3*(`ClJdM@GX{4{uf2j!xKE?g~Mf@r+>;vFf5Ftz}4u5)|)YVFi!!9|W?o+EmV;l4XhyPWG8_(>9nFyH(FyqS zrLSzy`SLq7-%XgbR8r%~NH9_jqmE}J2MXEx6`LCq^8H%|YHldBno`f2q~;&;$agJ5 z1rN0?4I7$^gk{y)CnpwoF~-t5+Qe#J2@DP!IVwMLYN4Gs;=4YjUpHy{wUmTbe%hU9 zeCVhyr6Yq#Z@q8Vi)mMi;hB#{;Ipf*-Z_*xr}4ZAbj-VeI#W?@&Zi}6%^ztteF;e5 z1z)+z2UTlZFuOoaw6)E<35AQO2!sf)(?=9;RVg)W6@KAhZj9w!joG{Q`H=z7ZNtXY zlBi51L(9WH1_!)cX=451bqrYN<)!rjTee?}1B045jfM*=Qy%GRs^mEs$rIHS$`17~ zSqmoraX9`?XYUp)=LY6}EDk&MO4mH?+!2ey9yQH_7~1$@)3(lS53Ad&hc}mM###WJ zc;wOj0B;U*zx<;Tq?+is7!A-8VH20g6Xbqs4R)|#nErifU-~D{4Y-3deI*i0Dt($x zjq6prEssd(JBh5&Xa`*wBXB;igYp&<`xGx$7R=l?6jeSX(G@Deq4Zk&if-KvMTcB!pk*rL^>b;8ADVv~lK z-|`lO$?2M5dkKK-ntw&lDHTd=teF@D?mg6EZyOK=&b za8L?&DobpyzjBBl{&*8yw|acjQ#>W^;isPlCpQ-L`>`MDQ{n`RlNjV5)UIVcft@hP zu8Bt8Na|H^7qRYEIyZxG-DQ3+ii`K4W+aTB1O z-PKquC-&=m*SsE?%phhv+y+&=OO|5xcz&vEX;Y9VSMO_Zd_IJHYc4He~%rll

H8VWK*dtesPZ*9Gs+j6{gA9XS7R8C^OD* z=sqmlwI%0P5OuIuLnyu`H}iO`K65O&%%QFt)Gjr)SMwe^m*Fcipk8G-Dew zj}ay>GzY~p=d3b97UOM(xWs;4dd!lwHdp;~{+us(FR&6i<76{Aa5OlU9e?x{DQrH7 zhtghxE3lX~OMRn^#Lcp|HDc07*NGoz2$g9u{Ums>ZYFk60(o4g>BUJ; zu(B)lG=g!39K;qF4^K;PBf`YaD!TGX0rA)St9qGjLBdzyPCy{PXW2i+J;v>rIi+# z@lbPRDhhWYg8=n0?J-bc9Q2EC%YXhn>i2P8ejOGVg~WMzfH=+dahGB@voXIvU8rE` zPrH$Ojc#FN{R|?LY88sE7ASKX;~ZiHWuuR1i6p*`9=kMO7U z%a{9B0+h#F=h4rEpdV6fsKPF>Id1r>sM;fyhGp%1)$M27lW8JueRCVorKXqxx7 z`({_HUPyeYDsxQjdV0*q%gWp(p%zA^N%CVM0 zL6kd#9SM+YOeBtkeB=qM-Ws+0#Kc2oTc6=qt@2*SF8JU5fq+EHEr#mL#K{=HmoG9G z*Z6j@SZR(niQn<8CS+PF6@29G-%M2Nzd;5m=V=~Cx%;w<0tRI2SF^aRyC=S`!C|_% zd-AmR289l1d7>PtPmDbC_-t`*Pw!3W(PwN2T8bN~vaEWP4W-0cYRBgZ3TyH&Pw!kj z<-)=5#GW*CB_z;v&9+Cg@`Q;QrzKZ1D&OAc`}4P6578;rWQoZ7COX{W+#nJVn+uz-pReN#Y1|#3KVTV7zo9upD zv+c31AntZoy``eHpQ*FU59jmZ`{^mFB&Gu9-$@Y^3|gOUef%hyPkkQoy(iD&xDaQ~ zq2&Ru7a_$@!)SKgBE@Y(ydBw-!~!pgBy@d}S8A+?^9{%5KYfpp^5eNvuFRg(JCP92 z$hr`I^ixM(?=9yg*De!2xmV3Ef&@?&Iz8+`74`y7Pmaj)u#x&mARc77JSvX=GV!^D zS6bW=r*%EZ?(l<+zBG50&g354%VK?nXS5;8>n}@Q;;^><3a?&pyL<|B{nw-My^_tV z982wbZz$`*Sz*kRq#gEym=vDY!##rL&*RsWvXct3#y-LQbXa}$d~cL7Bgv^|d>`rs z(9#mNtE|@SBB#HyqXdfCgTrYy3j$l~Ha#x%+#hk7dAdDC-5fMdp1G;0L$6@f{2+rT zn1W&)@#PYZHW>I+rUt&$&@qsLQWA40CX(8Ih!d(w0D)Uf|+95(L7$D=0mv*FT;;Xd8zp!2?#>dK+oXJxmWWZ3H8>K@T^ z_IUAtC#+$D=Y%Gl6{qC&&?>lHyc3BySCZ+5h**IO*XuY+Q~t>A%F^3&$D&xRkt$j>2>I&sI(#k) z+3jo8w0ys*f~_Nm;e^lnTYZ@$?atq8RGktdeH0hg*^L{KJk0c*V#q%dGq&VE%)iEu z!wO1Xd{uPUcIR{?(>dp03lZ)uW2XXoKQysKpBj_O%wA%=%CVptJ+iZ z2cf!2CI3kjK0&Wibon<}}o>_tG5?w8j#Q#LeDtr~5^K=E9pVlKN6zy3yIVRH-w-zWT0VJoF z7=tOZ@it2V#RF)Z0Vq(_6IZ4tl)d_IKm1U>0xRlF1_`d6=iUSKsEB@WzMTi~*wf&O z_d|fdgELBHScU5W<^MS z39Cftw;<`VF#8ML4@0R7rjPjm2wHEB`16?b2t2eG zG;e!`4wWH#CRC_TaGv7MV{%~z5Nl)=aRkhtF89iRR$Sgp76wAteU7%jVvj^le%#fM z!cs5(XowY0x{i55g3jV0Ui7vm!R$H0U+nd(yv|o z(B|ustNHeTy;&-IC*+3H4hq|)Ft_spN3?vx_{mK5?wZl2Hs+S-&b@KO4xP^n#>HPr zlvjaB{T2=Goq2bgRUdb@+Ztp*LVm!G=K-)8-CFm8c~=g$$ddZl)QNLSR#F*A&=;G(evg+t(V62QHz{LEpIn2-?X-Vw^SQp*c>S{3tCGMQdDK=y zSN$XnE`+cbY#g~o;-|wuiO>Su3LYm3jr59mf?BkC8hm!A(we7q9&_H_zOkm%gmkJZ z$;H9>xWdqB?52kr=kp{h?wh;TqV#IkDSapk2juwm<-aK!02Cn=x&!h)*D!L8A}C~{ zk(82i?Z_G}nytL%O@kt;;4evCiH8J0OkY5jmkxNPcS0IggBuW5K;5QPK*$hYq;(RggU!RcVip6`PfA0804;-=Xy zTQj}feD13MJ+c!fpW{$EG(d&k!j&y)P2L5rif6v)_qj0Lb@=RbKDFzh-9TUYFuwik zcRi)ZA{*PCNAEHIikS;qhTR>bx!s8TF3UjKH6V_yM~OBhpR2JK`B3~jW}zE_FD^mu ziBl9ZmHfAxwtY1ic>0Blc5VRaKccsfNM}bmA~J@ubHx6kV&Bs=KJK|xu67>i)C1Zx zU;n~OClb3Z&KsEU9)zg6zv$}0L&OqoV@3yeev~{-b1udNtjGY34IYQ->6(|=&Cm7p zux%Wf;k}|_Gr6&?BBQN6&1j)Xz30oZR;?<}D4&!~EziN^{vF+xfNB(g+!E zp;dbG4ftiDt2K9iy2g>0X$g)$4;VcZ=7jCOv)JpF%4bm$9*2WJrZY;;**M;(#z|ke z)2li|qG;?YaJ%Qnw-IHYz;~U7c@k)srk1>&f?U%Dm`HAFliAuw+9&}j= zU|u=7mFLNam7-qspf98dRNn|_2=lE`9CORN z7T-PHkfTh!okMDsg>L^zm>F{|^={jgI@S>7zj0>JoD3tyT>GhZMf$WH=5jj2M#fR% zRCLmB5#S95R@;h4*}S(rJXXZ~sE0ZpDk&sVX!Z#da`C82R}-FfKOQ*s9lwzcE?Fr} zB1{v0NLQ@!@I?y9d5RmHNpw2w_12OUyb8s}TC$lGWR7JgoqncRoy}n84hoLhW=1Rx z^qiw{>a)m(XRpTOiFKvFlKg6?z(*C2Y92T{oabivt<9B(gJ%)`+(Lr;Dil~8^7yR( z+^X_I--%+~?KC%2ckXSqohHhw;|9P(X^Wxm!-&ZI0NKo25M9*ZiK*1oabN~7AtvCb zNr;7jNMJVUS}*V6;|CzU+><4cbrFYppGOiS^S@~a6_Wxml#=TQ{LdV-N28EmNd63! zR#*Y@NIImF2GoxWO!e_IDnDS0{jRje9$~V3F#;W6Fo=en)?N5OF`oml`4CY{1js}N z$iO8Gf+31adgR-lc#{&X{nNNaK(z6S{@RN}$M~Q07fSom0Xis&AqkV`TT4&;7B;do z`f3k3+Pc56rBcOdWuQ-9D*cdRA8NzdpCDD5x9gjp{bcVP_ACTMVjQabG8WaXCiE<} z0@rK%C_k!k+*Rf+*TRNsTE!>ShTxV&grnZWiVw(ZMIH$zm@@ltw90HA;-o1njwTC~ z#F$`2emF`I z+#&(Inv4Wf0$v4@KY2`CyV;oMLDa=%oQ%jZL5m7c86N|+8){}GVLlLuyr)ZyC z6*8hWH^Yfs?YK%O@k zs)a$?38={FMR>VDS{btYZB{dqM}rhV(6mQdlS9wiiKpyaB2u?(@E|y^OCxhJ5$sk_83xIth;%(~#SG>1Mk&N+?8aJQ|EX|)M35qv`}_B0$YPkW^Et4!vY!GYs)L;6yk z$jdlvDIT`OdQJPX2lBuwJcwL2D(M%-xFy>}mN=hgqT0?Yv-yizC61xwn6i*pn1FyU zFycXI%TTQOG!8s$)^iwmLWMp9Kz^;&Q_D~B*x|a&2ITh5ar(cvTD>i0g!**rK@sBd z(pSt?wkD5biDNiyBlz+{yvIVh-N4$W-L5)!H<7I+1!IH=m}JELu5 z&QBn0yY9H$?{1B`f6AR1wk@@;o(6g%AZrMYtAkE+q3NLFV!PZ%8owXFXiH7bs0uQE zM0Lx2bP-h$eVzZEai3p?&*O38GD5E}d;0gx%qdA7nz+v0qHU8ozd;pW;xD*dqrCXi z=NLJp@WUxdaw-O%c&w6J^sN?FZeRW@&2On@4#j(t`bE$Mw4B38c}b>H40NVdn2|jI z72fBTWrA(G&z^#i{DGFK4`fN*Y)S$CwvyJ#E3nc}>Cp^EbP-iY zIW5hEKTrK|drU4LA$j?od4lhOYEAR`q2q3#{7UL?RE3<}hH?DN#CSjs&Mp2e`><{dssJ}gwXL4PDKM!#!Qbu95yqeLc${W^b@-GT0RBG>ig27 z$y`AV5#WJf-3Q|w0-uJHoeEoKxx-UDj#2baejJ!*4*6B$W?@`~^d)BoSNUNombFYj z5Vo{-PG*?02z=npy$Fa2TcQi>&YpokOjn<2EotnvZJHcPDl>}^2(Sn->UqD!Pb@HT zVAHGO!Ap&9)JH02M`ndx&(dwE_Jm4LTF2YomPkH59Jb2&47@5X8u*Pr(~{1P^nBu+ zxG6@h93#r;961XLYx%3aV$^;ca1#xS(N9F6P~LcLMM0&49p_S^&aZdv^CPBJ1tKOi z-H`&w_ivcsI1JkX>|B={iP+oXe+sAzz zzJREhW^+c{(QBcDi=Lb>Zp#4a3fIR6uyMrd?XCL5gJVyl%oQJAVhr1W*1FAp;5iM^ ziJF1CcX{8D9m7FIsEiHjo(Jz-2-i`MKVpU(eXQJU6^Tbq+K%j2qD*@`kHDQHBXtqvZUFqGKd)FqHl^v@=<7Vc&cqae5O~uY!X05qGrdKSbkj=Xj$9_9)0BtcH z%h?{-)qKJhlWuo7ctwNWUsRdK^$d#ADkNlbY%W1k7hlpcJo*DzUTAag68lIsdWXk? zZ}g1VwiRo2b?GjJM-uMZX;=;X{3amKdf0^(PHIZ19($@s)Tpt8HPLQcU!Nf3|c)W@;BtxOhqH_L}Sj~5^*N4 zA13Hbk%`ET+N^mD=fvDQrcpMHNJ_oX#16IdeAS40E%2&KC>4_$0_9R*D3X`69S(gY zc``^M>~N+qtKH%~ky$_-z!^jRT-5uy%U|)a6Fh9!@!WroG5}}^ZM7QdoQBB+ImCPnZGDGwQXvSJ7bw6MxP3eAV^XC zj`8;p`+xQsQJv}xesZ>FW=+-9z|-x(rvoKlXxJB!kLKR=Nm zaJ_){F;{_?-0{p1Y#dt=O01>h4$bqT!H!XaR2zuGVK*TVW-tEUTPGxu6de~^DBIj; zzX{+bfJO7?^oe4=2Oj_0PP29V+lc4Ol1i#viAv#?8`Xw>cgGxhfdPcy$N>uRZ)CIq{J3G z9jTlDH92^nEU*aIzWc_1+atQA76+3UNMJf@-%q*i@#JKy=5J=lkOKT*PaSgZ>zk=NgzyS1D&uESfd_+9OVeyyhzyzS`Ucd5|(&GS0$34U;7=Bml(z1B-6^^X4_ z55^Y{Z+p#dI53*UC!)Ca- zFa+oQBn$>h@CMvJphhSQEa8~XN~&GM{yg)tcC{&SGu85wq!E;*5kYy7rR|a6v~B*2 zI-ksqOw6$ibSxL}I!WrdNev^Et5!u7ykFNPQ(wM)SD61_A2j7A8?`B-yMdxMoJ1Hm zto@1u>3uL|iL4neFIbD>FI0eDx)X%?E4VxY3rkhXB^@w)yQ5WT9e(9lY2SxB5ARQZ zk&0F5k1bk5bXjWv_EJ@*dH$c z^^vp!$N!cg-;zq5H||lk0yO6n@DVbbs|p@MklG*5qjuOxYBbQl^GGDhhBBN)R-j^9 z8T7yVZNg+-VMI`cH$u!of@MynO2_B`08fulPQYn+*WmK#&C`3|(}hY(FZQy(;3$}1 zE$_6fl!+SD>tD>6De#e@860pJFjas+r^s(x*w}5Y@5Bwg8rHH9SKjsVPD8jf+R1xm z+Kj-{qA#HW3g$<^-L|3fVSQ0#A;2)t(={TF)B!(skhsbD8;6St zoo^oUk2mCTWa+Pc)>m%qD5Pj=X^kFs;sPQKAsS_4uZ*pZX%R-Qq$B)M`NazbRA=!_ zTVf8Z|_Hv8GM6fE50^YA>Vou z^y34R(ch!?v=?}pScV6SJL|8$x5{MaPc6Zx^c2!jzeqs9260#yzMnQ2KdB!kc)Z0V zy@p$;3|*LRq8jL#k@Kl_;#xY~l~6wLo!ju7JS3Div3p8jf32p!JU-2yV6q?$#v5JQ zu>OP+nCX>}-AP%WaK8CfV2>12ntc%VH~9Atb&#imsQo{52!LkyMHFKLN#_qWqNZu_pgtLI`!B8{|_0WJyQNb|4!SjD~J4l zdof7ANtEa7e1#mPtmoo0EJh)&UPw_4s^u+wDC7&2W{w(4~85JIVk%o zk03mMb?;JBa7Qyx+F+zGmj^Bd8iB$!H0rm?5(k>>R%dKpAooDA*m`Yx@C181C)R9N z+z}K=_%Aert}7P*7Hz?bWj>G&=S~Hs;uOoC${Ogg+zZm8kQJc#%T&V7e7%0iD@a{L zy}|^FCZN!Ri6oTmFmO1xNcT`Sl0BRrl1`%O`Ro6XhlZr}uaEu*Lqx3gHXqr7-tgbH zg$me~@7)~ai)s{o{$8`#tc$IDG#pT~`@c$EeOwTIEIyAa(6m7fvL0*TN(5LEGJxU^ zg5^|8Fz{CO4$*n93_O;LH{Ra+DL=dSU29LDCB;OuPKi zPpJHrpL!?*5FPz}GLVfNZnrbj;Qa2Y7ka3)vRrnu@7ZjTy0{2bmd5VcBWMR+8*t%@ zjfKE$k=?JX=)?K6Gd;VWx Cj3cH1 diff --git a/docs/pictures/FW_architecture.png b/docs/pictures/FW_architecture.png index a29aa7e512b74af934eaa32d9e4d443c64df1476..34e3f7d2dd98e06eff7949b5f8b254b840c38d20 100644 GIT binary patch literal 35069 zcmce7by!qi*C+}C(jd|djexX-2t(tLQc5F@G?F4iNH;^LbW69igbX3lUD7!sH8k7< z{@(At?|1Kgp6{>A^Kdw4pS{*zz1Ld%5Tf!5f{R6gg@S^DD<>yFfveHav(l7VDaS6!h=Q~FU1`TIU;;4y_bTPssde{5h(iF3NIP}{S24#nV1o4f zE&#)B@hrWZ=HJQE4xLGM5x^@9JVF4Ewzjs6)A!q%4*%d3vv2!54&jpo-s;rt0w6j% zI{yOi67z18bog#MaSb2`mSrHPGn>5m`}Qv$fKEIRL#25b3b49X#il0kBc=+xRVyC?CjXr;=G-=)Iq(H*HR80FCD-C9S0@@tGsmR2FUn3qSZJrn_LU1k4gk` z_qGdQ_V25|S2k@+0p}1I`z|rp9ssH{qW14NAnl>Vb^rvJztBQ92%z}ltoBMB`z_rkd8M6irZ>Qi?_fJ~*5#QW!Qytta zzCXU9noaK)e-2Rn@V%_I6AB6`;qC9eKITI)6qJAwIcbU4Zf_AS5q>*U9~a=Y%QcO* zdLJ4bc6MLsA;?N~V*A}674aw5PUQ5tdc?kjLMI<7Sgl+cQr24ue8`uR8FqBC<$5fv zT62ukEk`+Mv)31YXn?$O`y)a03xyHoe$vK=jr$nigr3uQ?EiZ3{yjGt&b37UnG~xD zsT=j7215W2J2w?h`l|&TUqL1jytmi1m~YVOQBzD;eRtzhe*y%@Q<^40D1nfuBEMo8q=2X3R{_|#ZscReQCCw#|E-GOc zPC-jH0&P(t0XSV#wB=DT+d{rP!4BN5_3x^62bR{y`hwNyyU`u!Am14JMLU|N=at+s z;_FS(S-LQJ+Eu-OC-Zf@2(D~b#s28}EOv2+-b@pC;=z8{#bQEW;>V1AmhJ>YGaK?v zQ1m0CtcVga{Gd(SjjkJ@XChU;{i`WyZ+SC-J?8r`wzmHTR)b!z*-P42BU5&i;op@V z-+ht$&5?V$)2?>aRMa^6biefYyj1&q-$~h+tKlp|C|iYY?Sn>tSKs;;e6YnU*_8_G zu^QJn;+H6q=UKy}Y~gQ8PWE((I(*OiGiw8E9Eq_%)E;7`-o*~WaMj+$O`IP-L@z_jTKhj!?<{wJg=(_`wgo>5FX z^po#f_57(LB~rb9>wRHejXfHO*|x50iZbZ9?6G-FR9_EhkH3NyRl4VwgD4;oLaehU z78R1pu{fEJo5-u38+$@8B8JZpd%incps-Q7cOqBvDZn@2Bj$#fTZ`*N)NXOxzsB5fo4oihQgV>A#xt(dy*Q zjJ7PtnwG+I>TR%3U3SnQ)MS?49oJ`d3l+6zTsy)4q1mQso*x19*Dh8l* zFRTN)tY@bT(207ze;3W04_-A8f>EWPQ|)r0N^2E(2E=I`iOHlGyz+S~TQU-BfEqyM z3A*SP$EvK#fcj+UhPienG=HA|`KnXJ;Ik<9MgeKvNPW&Qy(2dH32JagrKWz*^w#w5 z*>rD6ivm<2b@qj{))Q97g<{ZIY1{N#GV#&NYtG}JDdkc>I``+zd?dp~Un;JA#dy{3 zMG5D~C04(%otq!EUzrNvv*RHpFiLxgE!43yuUyfFL*SHVjvexGnq8|$iH+UVb9a{R z>@?u=_m#|^l0v8fePpln;5i_+3$hDU6FS7UEw5G*gP?Z!f*7?lXjnjwhsHQVz z?#13pY;BgC6K*aAz>|a4BUh?9IJVNfT+kG8WRe2KPMC$U$z3vko-SFlt!h;fz56It z=Sn=H+<!J@^Z0-=3DvV-iz3&JuJl80#T)Uwd`WXBo75jHt9ALiEV&S5je_VA#KUn^vT*=qnh?jl`}_rK`gg|ccfA^FroL~+HZiI_FDfF{G&-h;V zbXw#`eHA900)Il(HNygR93S7zEm)RQT{aZc=R^@i0sd*fCzpuhB4 zEC##kj}6J&>0`WQJ|#OkDGqbDk6!|sKQGzZcUh*`UC*(vrdLG086KCc!zZa?d!gF1 zNOdWQS({pJ_zLe=20prXD6LR%Tj)LJ`<|V8oFL!cnP*x4v`OdC$XVLPpS&4`VwhgU zg1I%Z__#&5`Q?UIq$_!K6%k%shpZs0-qoVKO78za;w3k^wx>x`%_$OxxBICIydE2 z79E>I37_gCxhH}KI*U%H*PXE&NJ8KvSgtw%!l=^`iOv_}(LJ{2nR;t~awEa?KLUb~ zuYg600&7TF@>k;ZT)0rFD`mX&QH41$M(X?rX;iRz4mXEDFC(&P!eWcJ+h4a4+cDyj zC#A@mMlcw)RcKUw&azEnqNQJoQt*f-=WOylt1&{RE{vScwQ6v&jgm-dHM1SYBoPoHodG zGdf)hizD_~MciAP@tLqd&3#~PLSp;gUMjMV;$T;U_lRyFk9^QPap`j6r4a-Nqjwgy z?+sq=gQkUpk5NH&gy{pI`Oo_L0f#%YP17=kTx7X{YK{QI_+RPP7JCn4ouZ3ko2C!L zrl+5Is+v&ea(qLz>=~}#DU-3MbkrXdP)?SSIJhqo6-ca7=16OMZz@VyV&;AY-3Q;A z2Y{x|b{$J16+^Nkyg)uuhEf{RsJIOmqHpz`%jptPTe8ahoR$A5%GyUxLFHX_O`n4{ z6U$TcQ%M@8*9y*DXR}jrrzIOFqZEWgNmttCBY($>vZxux!k-O9>Y5%oFP}O$)6Ghq zP^d*(S4s(Gx`-ReV7!lCx#~G;rw^L>3eU&KoyG#-U5R%fL04IO&LwI3yEm%%dw2r5 zTRGQTq`uDdbROFm!2mhB@&yzJnqN3=1B5+(k!a83olt*pFqn#Z$ads9I9TS0&?CR1 zIS<&wn~Kuz+UQ-jud1Ybn%xPoV==ooqvf62heGj=U5=lea~JUR7aO}64nW! ziJ$!^ive32QlYQ6pqlM!RwPqlqzyrO(}7*2!)6)0qX{(&OJ86!OqUA`O@}1f+EO6- zCW?a=uJy5-)QpQcK|=N()XAPXYOi{m`WOKL#uvo#sFr&VhWmYLnx?E|!xMDzayd{a z9iIS}wIHxsP@Yd7@zNs2(eT}aOczWyF$F4{eNbusT)UU4H$NyOf11|g^7L`Cw1LPJnkDv- zwA4(0mOHlD3(#pW0V{$2^U4nfN5cCfQRgX{e7R-OZR%H3-dMRD4^qql`>HoR;3N?Q z>?qDomioD?>xXO_148IW(9d<9>86HO`eby=56`NWEc*Rczek4;@vVs;+>f)Dt&I!Y znLaBL*e=rfS#518e^!q^vVfhPh-#_elP_0k9%#Kd9Rgsj`EB}0G<9H&yA?<28CU01 zp_!ceVw0#kVvCgEKl>*#WSx5ozpxvLMXyyN|0tD80}y3&f>Y;JFrS({@zLCm9B{lx zfIw{Rdu;BYZVlgkHp-o+8a*YSYD)W_Mf87o!MvdnOcmi*h3w(lgNEO z8g;?2aJ~cty=*buB2WM0;E9!wX7HM~8#`I0^C8wjB-(^FJ)yU56CLP(s6oW&#UhPc zB;|}QHEMRI`@paFJyFXqp9IzrCePrH@jm!t{!Tbn+Qxsbr->Pm$?FK}a*VZDr&Uo%lAZ(q)DDm7M^Q z81Zl0o?#3BgyBlXfYj+czSXt0UH7uEr94qC+;@qB0?(g-q_AagR0_{cI|JwL-Qv4c z0fu{O<1pQd+@X}k)BxyKaJ@F&;`<2$7>UUE6oj;|lZnHf#Z2VVRyuX3hsHPZ8 zVEe_4nuc}qW-vY1Zd$##0d(?F;GRqM%&v0p!sF*)((VIZ82@|k{ zyse4vf{ceyMwEVF9GcCglAQ&ql*Q0Gs2#L}WGyK3bM3rNGjT?hEzggKi*)4E`9ld?h-W34{iB>)0I$|auY|m` zcdNM^1=N-q-(L#j<>6RB6pXl@e<-1TT*P429P>=-^;zf4@B#<#>_`z`*6#`Qp}Iv* z(fi@fO;(|9R4DypB`H1<_F5l-M!Rq&s`yZvKm!FBG>3?>o!U$3S zB|FZ{@z7QKE&)k2LKN=p&S=3MgafOa`c1_xQA3{IYjXZ$XT1&S7o*jA(6{CfTc7x3 z^@$f8{l+nO@#pWK=1;8m;u!Xq!dn|u@2e&rs^~a*IXs)}ko~jlDj+s%q0DHH&oYUZ zdoP25%&#l5Muyzu{UF-z$i9^1wf>AEMBSTFY&8!=XIW7WkQ$GsMBtYe|L$lj0W7~0 zLT4o$s42JGrh^Zq|PYIa1V)62w|FCE8kc_et!J23rAN=zb6aZlLYbf;j2sjsb^cq4x?fkAtDXUC{}C$Ik^epr5eA5lqvXW##Y zF&^z!e1L(~VZ;?P%IZCwG6QxVFktHv|C?O4hyO;LTvQTq*6w7E9P?u7rQafc>~Ju; zoUC@qqT!cv!d}x7zhAmy5rzaMz5y<_(cV?^P{(a?Y+f_rg&?mQrAj=B3CIA2ONl~V zZ>#2jYcswr#Fo{zq1TW|Rv73mx%z4AcsuXhv*^#IG7s>N!ZWdt*21uG#B6sid1C3^ zBn?T584X8nJ}wB7)V^N}5t6%j_%RzBsiRX-^N63$Uqo-W@%@SiVs9XZcgiIz?cLbD z5vLU$Y^m!bpP|Ae`1#@B0eS4W0 zhW(u+&F;2K6EwW_6)w7=2QS-v#NSZ;G5(D{jtC(bQN7%XQO-$TnY1L=v}Q#0{%U!m za_rmD4Xf#{ewTi*h#^6LQZcg6WyY3>#|!V#4Xs;oxs-14vbj*p zfSs_}2mkT_PkdtMbXh#7b^cO7gL_mY#$(rn@$`y0Z$7U$yk~D8qr1rd?Y7kgY^^LO zv0sgJU!i-s4|gqNp1%ysUPkbxHE;6i<{$-&Xt&AE{w$u3zCGmOm_yDe{$ee5idXxr z;Q0F**WCd^#MoS9b_AQhyGtqV#vY2CILVs5YLGuw(9G=cAfIntCg&7I#-`I+dM1LU zmV8^&-7|th$?OT*^stK965Ry}jiYh>hokwTO5{NLuUKMFS}Vv-H_hVr(3Bcpk07v@ zp-0W3;-H~$!Y|TA=-vt8+%Kn`LAo&roA=k`rp`U2J5C7KoB2rP*066RB4x!(Pk8pY z$#jaSWT9NaZ-MaExzYkSmiRQ_SSP-lfYtJ58p->1#eb>-vJfEuQt<6E6Yq?>HFGmA zjJxavEd={;I2e)ifM{=doNtjl;#$8(QK+K&-?4Of9NEs8kjUzx^C`*!?xlisq(!vQ zx&!w(7D>Pk!IZfRL@ubr5pXX$%N3gaAFEdPoUQu!cDXmbw=DmR);=VfX=C!~!MV8i z;RGWEux;x-WPu7rxu%6*Ap$@B=-8P~<0|p%8m8qyew2{1KgPpQuY{l035j>w z989nL*?(6apfz*cl%yZ9p}O)y zmL2iDvQqnKaDjf*HI51AUJ^QPwH%o|W$W;@Yt0wAyk=_B*nubZ!DX@vX+O(WT$_hn zn=$utJ&&bik`Y?>`F=24!3^SWgzmT{B;9$VR>q_3Q=`j1n)Y~M_7K80AL(5hac;3l zfVENm-i!LDeFvm^dX3!!^wy}_ucqlE@3}~JBH9-AVZ?Cpt<*dLsqs8ns{ZKqC1@dy z#%Cll-_|n9RZ#h*Jp&-xR_;YIPYhgjqTF({IBNg;8P_b&ne7HIJA@tcwhPqpgAXR& z$nW)cDKE-yu70eXKmPII(-ENQa)yoZv^(|qroSQhff3UGDKI4Z(0P0JfP6jm-*km` zL6x4=T$dlTO5FO8DDfWdqr>Ey96r<2Qx4mqK~Na}*-C9b|G%lbL&?p!RPYfDKC5~o zAbx9G^b2pGHLf1Lv#O~T%80LlY2SJ8#wRdo+I&<%|7aHZmY@aD^8dtmjM6>Q?`-it z&2{9N*f8(fx1VhPVXLeEb4w&2;=T>s|K<+-0>8bVy44w;L=JN^RUYAEBcN?#VzwgQ z?{lO|x0b=>Z65wYszc^mu17A{R>OExkXoXb3>2nJ739S}h$QoddGha#T5~t9B(pq9 z=N{m?p!Esr%x@KiHgLWfXG$*^e^Cog^P@Tw{t9XUKnvFfZe=K}A*GH8PGtu?g!7wg zN#OR3L%m->0#M?(`e4mESkk1(>PsrAe?ScJ-cC{ZC_GcJIQL?W4SN#J2JTowGy5W2 zA5AA8*gPM&r56R_)tK-ZsumZi(0JOEuc|~2=TLK~<0*R$KFW7hF%ss?e|iCmn}RLk zQojOv7sFHwk*Qo7wJ=~QAw764$&jK|yr%W9posfEQm5oCeY z3Ta!5$GgKh)8~|Wl==5Fk>Dn$e*M?p zwO_^+2iij+EU}Y!-WMN4j{M3`KS!+`a5*0&vdhY1pN6%IUG`%VIXZiN5~8y;MDV^8 zW8x$8AW}r%!`Q+{iyV) zEErpavFJhAP7J-qlW(B!t#7pypW%fF_j0G#;wS!9M~yHrZw(CcK;KvX)<@ggoOChcX6buh&qp=UbT)A;rRRzm5bL zau2_Gs#{*4c>HnJP$-^X3W{m0oQFHZAVgeS6$i%i4G|r5qSOsu(w+?J+GOlA{c`@C zO0j0cSLaJN_w72X9=1{_7$%;dh4<;MYy&vMiZ~RBj(bx|(|caj!{2c0hbs2Hn9m#n z$&Gh)=P?#62iFdyzBY!D$!Fb*qU(KtJC4>qMyir?M z7upL`c=5LHqX8AF5W<=Z0$$v4iI%ApXaCM(fJ)o>w)&%JEOr3e>{m}bMR6(qdyX}{ z54^zD#2Kn2i6*RdZ^snJ{9fx0%PO>Sm?HV#WQ+)GlANLLAT3_y+k93W0I52_5IGmK zE^#i0BO>?uCQ*7S2B-EcY&ox6(Z@g>nKy{~3Z}CF8&2zy4E=u=;DGNR*G@%{^vJWx z#1&h#8Ec1(7qayQZl2H3_gBH+RP7G`ek3Q8SRqtgr&q7bSt&Cs)8co)kHWjlk6h`I z_Uf(yQu+SlV%xa9_P)B_j8eHf%wt5GxRgAp0n@=Ba>mE2Oed#Mhq==t#?yba(r+DJ zfYZf$|Ioxn%qnX7_0BYLqTf;*HC3ML+VGI#%fqM@66^0Xb@}?p?>ot@K7m&ESG8Dc z;OYO|Sznu!K166R- ze1yP;w&t|ep*laa_!$KXc??c^_{$8j6mWi7xsiw+t^2(2_)~)>stJrx5R;2%nl%i$ z$j&tZ-;(t8Eger*Tx(Q5iECWCKfx9257%yUMt7QTl3p3&(WOuI4DcP=p7>mw*t;Fny&6{0^*_f|=5Km*RkAp} zwX4_m+0EU{U9<_8YuyfJ6@74{lVg$F;8mzbWNOl2PC%YsX>Qw%Od-A#!OZH&4}^R^ ztZVGI`G+j+Os_h1j`2jaT1ZG9jY7+)bbeBu8Rfs^HA9b6zAk9~?p+1s%n1rqh9%QE zUd@_PPFFJ}n3hLH(@Ie$c~lm##TwSW#Ct!9eBU_q`&1&I!zJ&r3s<4?jY$-Se;Hmt zb^OtRFviELf$V3BMT$kG`4oA&@rpS>n@3laBFCNyfw&Nc$A>bf9-MUZt~}V~U7o$D z(=mEODoUTIs^2$F`E?>3j<#CP3k{qicU8mpUMfGf`e^KH4jVL^EiGxRsqU`+(~viC z|36?*5yOYlXT02=9>nRr0W(E~i%wvnjPxH}DCHg1v$4~9Ey;(|<3;JzjPD)Ue)e+^ zy)&GSrq0YdsLW45y-DT*p*a39=F)xb1|EGjq;lpD)S8&SpOZNU6(5uxM@E)JsZU4r z!7tgH)6+5*7N9glLK;|z%69;QhxR|e^Q$RuT`EQlU9nWc-@CGjj-4@p3+B(RvgT>w z@>yUc4&Q50sIHsV5;mW`SNamVOHu=G%mn{NMO1QTCn!Z!Q!YuRncEO_&vq*3Yn+i$g9DLT zq^wXJXM%_Z~HyAIh; zoj0>Ak~XDru2xLc!{tm(Onb4mP2iTWo3EpB+^rN@;0c*U-8zs3%tZn^hXFuJb2J^5 z^RR8RuCIVrQN?UWK|AzyaGt=GV_1xqp_#@LbzO>`T5QgiD|?oQe>f5_&;gRwkSqx- znqyM65d5jNDy778hbIq%ZC@e_%}=@Qew&IzOTyy7p=J@bLzc-}v{evr?5yB#4f>!e zM+?`lBYVGk_0&c902&;;`y1cH@By;eg!WI|3PZl$W}D}@GXUUTwSU`0q9M2v!&fx= z+3}QzlrGf@<=oR+i2h;bEg#0Pl{d)aTEj`MDkFL)gn$oMFjx;j8a)E9M9vSFKc9A~ zMwI!fq0=#5$;0 zw{zCs;OXo`(P%WO858BLPdBIUzjLDkaz1J?+wlQb1iDnff}Q2Cmp2sc3G_@hi?GFR z-Zy>2NBai#twuNFo1}zR_-#iwp8hJzOJ^@K1QPy$e_UW8cxZq+Z`cppu>RK2oVK_nIq{00=*1O>3n(1b^KI56M5GH#4cr5i3!ahUK2xu* ze%$!!n1skrhU+!deMyyY(S+(gf+r)$0%okzxsR6c*kaJv2RUwl>pU;L_|afXN>6ZX zf2=XL44ppDt~6F^ku?jve)wzG;Zbcx@ONS#v|)JP-3VeIa|m>p1s1>u&|$oTEkD+I z_uAhFk2Z#W+A#O$mgDuplon{lL^LQ)#VfzkdYMp7d}6>D22@%=BR+U(hWXOb#P zN%If7lapWZoiPEbTYb(zr}zw`;*a5Qd&=#LmGjQpX;31W)z5Gn3r%)&JJl_hVRK)Z zn!+k2<77_|Ca^Skm*-2|Rn&`5?JCM277+TAz2$Xe^%EdLIo(7JbJSwf?*N%X-$2%W zlh6L;0YjjZEV~;XQJJPmi=;5JSS+?8r*o^4$W*3SJ)8?mwGR|KKY|`0n=16DoZ#mN&?7@NLB3fUD#~wIhYtpB;nT<5&QaCEkiz zAdNU)s!uU|s$_Wf1_x2e8f7y7P2-=4``;frOohlG_KQ!g?f;k|FMHkK_SjSMkATf# zs}79*g4PIKV)$p@6at;pi0m!>uKxxmS=K8%$+Wa-K6>f}G@9ik^bGW>67C8CtQC98!9XHCz|8x11Xz(`n>tPi}bC?9}?`cQw`88*wddfnqw_g_0 zLPfun%JECnn1$)4K7O;i&*qVjETnKZVk?@pNxAnI^ABQ#$ki1b)>iIhV1NVUIkmGn z*Y%4B+HUS^Wz+1<8VqR${`Jq!z{*F0&BBQ+6zLghzbo`jwLZ`BM5c#}a;fjuJrtRx zIP2bgliZ<^@~yfwfq8eoV)L)b3ap=3MKUB>JkBr6RhvwLi8#*=){~#};3-k0^--dt}0uLe8 zlwlbdyd|HWx3{$7oPz>^-MsZ_@p-7tI|ap=Rc8q#iv{?m!~p9ut%^7*W3^3=fd#Uou%buIta5dZz*!PGIe_@Xh-NVMw|r7ONY4{H+RUaJf1dBWKM;Ow@uj7g-}yI0Rju44WRnVm1MSr z9+9@1l9YxZhIdtNq}h&@zE9DfEXi4;59WjG@Wm)G!lpoG5@L{CqZ>v=W0*-q$qosI z2k?m$F`b)@1x$LNk^@~1$|z~w{WBlzn&2nWjcWm8gpG~&bAO8>J}@ZD3i_?nJvr%~ z2+?HEKkq$}$^^$yk(g`S!M>&wKCOW{$WO`e{DC>1t9{CPjpu`uJWO|WPl*_|XDV4L<`ux)s=X6O zuG)+xOkszuO0uo-JF&o%QSl2H+O^g<!C(uN3{D7CTo1{3RS>s)Yx* z`g90j+(udeXEDOTERa+&-!Ftbt!JHA>noVErfpN2CM8lmL*P>>-#tgh_>uLU-m)6}``)dX8H(asrx?130Bq@WMF|?`Fe|;8XiGLa2g0D=PtcKl-O`KZ zsePsuLoAM-Inl?$(pUhPh*ZHZ$k(2hnnU-OH>F3NlDvu7Hi&W4lMl{i39RNq)S(y+ z#8UB(T`%AO2|n*OHzDSMu2Qs^*Q70!GRWLCWTE%OuoKU46PPG?@7ea8{aH^&=~!gH z)rr1Xp6u-&_+aHs8 z2;UQmk>82{dtEA}b!dD$-~4@!D}P1&`BmrPw2Yg;sC{Q%CK!~qFqP9PcfBuY^i;g9 z+mT+w^=Tl<%mfmc5^cl#X<_B1pbOt%HG$Fw@FulzMnJzSYbg=_V$^4pP#*b5B& zLr+q>#TuX%HSs`w1qxczecw;N*D!pm(LzD#W8_}AF}m_m3r?Z2K7oNsssqtHQC z2fYwGFD@SG(ymUOmZlrjveH2|#4ZNff7yFH!1k~CwAlGMD(BGt+T%|fgKW?KS=hb3 zfLP=oh93_tQQP7tAQxZ4y~LiKN|VBie|e_uq>w(spZ7zwyQ=vXc^R=9ZTIHbb3_Mq zrHF2C)SI3!7kPT^#Qs`q?`qA-vYt>x=c$+TJgj}*9>zcF2WF$39+HL*Hi~W!-n3qC zp7Si7`e~kSM<;Eh5}iv+kDC73!eGnb!cb3cp=sW77z1f?q3^Y6wUVI`xxaXrP^S<* zSI}-~WBImOOb<7oi+%++9X-3X?jX&OKOJ4!Aoy7MwFQ`xE5Y;e?m(i80gcUX-y?rp z&!cMF3dL6Yh{JN5$6G{Df|cPUV+(qluwSUE>Xpx6IhKsAUT5P zfSTP#TMw8N{ffxa^LaW)G3y*JulWenz37A!ToMM?aTOGS?5UnOIk7f17{b-`F<$+)2UTVDPOT6XbpfZ?EexyGI<=eG zGDh&Os$j1gcLIksGHKz#7Iwq=X%$&CI-iZTb8$+ab724RM z75uq{ftfhD8J+P_m>rz~YyS?CW%>bI5`|MIC_3sW*!E`MES~;qZQyxah;Yz4vyM29 z4P99}IfM>+DXr0>WqE38sz@R=%rY!5yi-1FQey&s&WD@pY)hIeXsT!6oms^|!t#@5 z71APc`0)GN*X3h{UEfT3SIvd@&5(*5`%LAIA!g%Mj?`sI2nUc0ur7;OY$6ONge|&$!(w|IR>;O3t_oRDl~v|hyu>>pA&@Q zoVkiOsY#6*CeGMuCFJZwg=etFAdW1?R-K#rzFoT$1}Jl8unANW6`J0x!lDOOM!-jg ziiyJ%88{fRA!HjWxUX%U8z~e?CJLYx6_^UJ?c0eZvpI^1=T)&=E3es=HFwapv*@(f zc5G?~zKb#x0*y<7UDf!(i798CGfEvkIv`8jqH(Kl^46Y@*EL(zX_gRDL_9;#4?=yt@B95=b5XD0R4A*I5LTIkEC)I!SeU2^wtqr;$LvzTp! zaTkKUW*h`}ZJK2_7docbtu&)Q4%rjiW}BLP4L#m;19o55@4BOqh<7K0IU7Q(o_dNd z0l(jrE+nG#O#(^wpj*?7Q_Y)8;ZyctgepnkzIp8@GQQWSv{GWS*)j5NOw3QLf4C-` z&%M;xL5EeC8@y2U9E++hgH3U&!!oKM{7zFjRA|O?b;77?*7sMZ-j(QIL88{{X~w)T zEZs#=6PTv$QsD~JOY>gLpYC{NiXD;VF+m-!eaqr`Z5(C{^O9GZO?+kgG37O_6ixLm zPw?(=&BXG>Yl&OIBFU{a>v=7&r$F$7YIYN7jThDn15TpFexU1$!plb)9uDaY3whJd zynKII(dr5s7w5?_p6?+XsN18L|MUQV~+-W+~&~u`77;o1=|Uh!1h-6)%o`@e@zUu= zl=A4FKYv*BjJ!nP6IKeiZ+R@E_&oi+T>-exKl;zU;4r|UP5th0I?jymE2Vk=xqTG1 z4rZG(SZACly^#%!H}N=H?&7uhopa%gfgl;#S#=E$l?nP7YeI~}9*Ec30WBE)Yeqds zkxx8|1Jg(vR0ovo!`jwwrH|?%E|iFdFTaIc_Wf@?j*+~OfBl-wsj?fAsP(?1Rwl{F z$XfA=#LKf~&kf~8gmP{3%@oZTg_C#qGr=0j($?DU7H|Qr<=ev!ixg(zD zuPH%^n#NHf;GZ4E+HRiY3+X|Lhkv$-bnCwu*3J}(ROy@S$bjvaN16*EK#5dJI3sl9AgH1a^O7=q6r`^sDR8T0Tma? zw#lHll&vG@&tEzHx?qE*q7bmCnC4;A$l-AgkQ?p4RbUh{$S{zTZSGNwGO8Ubh7JC( zjQsjjWa{@TLYDiEj18Gtbzd8YF2Em_rsJpblja(FGNBQPSxGA?;Pk#DHBOgR-i-r3 z4?D^_iNJBkB+=G)>Tz6tlw)d9Lv`To=<{%-7L!GxALiPV;S6)Z9&YUVFwf_TG#R%w zXdD-6JzQ9bSB7P8^{a=MqjjR4yQYLPIq&c+w(HckfRKv)Dsgn!JB0%YDQf@Vf{;zS zC?gU((u?;=qN3yxjPGt&;(1=QFgJ+d*fSq6IoJ{QwCGQ%KY}(cIjK}{Z9ZU-M()(c z)n|;H)*uG^)-dnjRm5ow#y3=&P9ZG61j=AbTW^eEBt#j~M?7_qCK~A0tlb+CCy8iT z;7kSm>m=tjrVLx>()lT}Wy89Xrzu8ZdS$+L!FiwxKHcCx`td(dzc0KgY{>Q?PXecl zrGzMurSGI&$AN!x@^NSMe|h$~++}uJ@6}9$TOA|Kowu{kaUz$vJuk^q0ieyR^kHo2y*PP2;Ko8^PemH2_Y3Gl8&B3}O$wDY$D5ba4mvm2`L!p0}(^@w2baz*} z#SPJ%qtAZC36D4qd<|p}jXHYQQ1QTVNzdI|YPwEW*_=3$ENm=^adxn!0Q3%ZA)h8D zP(P^X_HZyhEHo=Ud;nzPiKATBk?KU)Ei4o?SpKRA_Wf@JM^GoJrt`=WE3sMSIwzcI))u zxFc)%@;40Lq5xO}wu66|{Qq^Q79bi^b{LyPlfiZr?fjVw=5;SCN6M4YZ!gjdbaw|F zY3MLSjX@6dE8%sRjK}-!IR{e|K0tQTZs>oup9N$o(+tPaK+4wiH)Sh{857*B7lSBc ziF+#caMKyY+v+w9N9^*gf(l^|+YO&%YWTHVv2-&Yu06-}tt`PeoxbK`l&4i?u442F zVU9T-eeTPM1^fdTeUf}sT+n$Et$@H|hS$Ydb0B^TN@AXgeZv>g9K7UQo~39mVU;m& z!uAb$qa#daR^F=6JbF!o5mrPo!`2BJpBuZrKm1MnHNmVjM*&I9W8>~BOS+418frw_ zCX#)i`XklrIgqB0WnnqLZ%A7G@n8(DG+k_IF7X)Le+qQ`SwwqtYr3@0#A`7?Lw zb87nMA${-InNR$@c}wS|Cylw_IYa)tCK0pjOJ(Qyi_&2 zYyqp4@Khvt7TflQd+Z`Y9UCXm{gGNek=`%wee!t%VIKx;LClBBLz$i ztYZg0D`iSk1||<*L+jIJiz6Adr$Czb5PJC-O}Dd+D&qRFFJK;*SFjr%N!zu=R;_+z zZ?d-QMLHFZF-R57VtX?{m8sad9c&D4cUz4uZz9QDRx!?7!q~DzUBg}=R26L><4(r& zHjQhENj$FX1U;Fc{=;1e9DABWsnC$x8B6pPuRLdVoWGD1#2Rwrm3+AFdV${O$W08b zd640DGv=2G;AuoS@bE*&xX+h%b{I$wj5ajRulUrz8?sfxL4=h9ukd}(r#L8T;1*WM z=fq88lZmGBEZHqKPr3!)7MfYHC$lr3a_-To&@{9w_FGsvsNS=7+nwU?z-BX_t?DUqACS!O2cTMW#r{_byIli+vwdxJ z{xW;<8hr~_a{H{-Gj2`g7F+`wcQY*G)VDiPp7UX9#eB>&Q&eD(u1#ki`J4(q_%1i8 zu^V#0Yl4mb;!om_UGnTje0bF77sdtOUl44Ya407DRf={7{|U0o96%=e7{$$FMx2sUJ0i?^$gtkx5q*a&G^z}XkS(%^2d&{pJF zSe`#m4wlIl3*f|7izpQPuBD`!P-;ITp+a+bMzy}?P%bYmec$}kdB42)peM2up>%bf zL;Ud`bSxxZw}5wa?M=zC<8c*L6L>}-nd;U)JdpK}8~vl88d0B0@Hto6&PkpI^ay(^ z{UHdZ9Lo`NO0wYs!ybPR!?-%AZiVylC_1u zcG3-{?f_8F*=tK!&?2krb{!nG8?~?tQ1O|_duVvRIqX3^G+1zsiOa?8GMh=$w!wMoH?WUgbTSjMb?q}KcZ)yoQ#&*BA*XItG8V**nEgTs`TH^LCA z#1>O_faOULi+x9?U}cJ~tNVcapoi z3HfxMgpa8F2;dvDgF(eTZ$D>91(@%I?zNnVwVi-SLidGGvH2xDO9Hqeh}mmmp6Gnr zGtMGg9A^}R1*8C6ci2J4v+Bp+vW%p1P-KdcI)m%EoB|e1De3~!NCtWcN4SNzdb_$jwMleMToao zt}?#^=a>J&PzXEmBe4gLBFLv~fr3{mOLPHWrx`d(08T}K8W-Oz2z(u=WWKBi@_?wAYXI@gzbZ4EnOOYo%8A6mZsSMO~ajlUa|Wn@O+A(r^3~c{huQHfBryg zonaNm%6m#2o(Gf{wRgnj|G76rG=hyk0f=NxNJz#oHmn)2|e(PTHi? z$q>KF*&Hu%my*Rd$M|2+pC;qE4|z$fyFlmTaXyH?c&hNZs0oNWZ^_NXt(NLEdD2nmx2pW^PkdtfJ% z`r}q)E|D$FWf|o-JHJJ4{OF#w0r%7hLV8W(CBtvp3%h2!o2Q}TpPfhR-F?YEkYM0x z0M@Huj#Bci>F>Dl`EC;ilO8I5rKoa!@k~<_gJYodio^L-<;ND zundv)%q&yMEPwg*mjf|k3*X%E4zQBMcgV%&ed9oR51!=tDXZ_6b&mBCE4t@zygrDJ z!QXZ4qu!0p!?#eXwpFHcq4sGKI2h}dAPcTwK0iUf>hp(!_LaRl=0Q70 zZU9TfvctqYfcq~f#^+6qXgiL++2kd?U7G*s+=6vuMtJ{rYyqD}v+uvru z>o4n|6mNHv%xv`F^I^*_r8YQ(Xk z<_w9Jac;Kp9-e1nQm%fV-Uiq0-goWX0Nb;4RiY^{)+kBWqsiY(P3QX!B6!bYj=eJ_R`w$& z=68Fy)FtHo7PAh#ZGWxaZ|3%eTF`CcfkNN?e!_fw&Iq9@UO~X zLAj9Ofvw$C>PEx|sjf<(bHjwE{l14cp?D~swShQa61c70&n&pLnIm7x^=3D6y zK(+Y!N4((=M4O{x@)@D4#Xw!0Xwl?_6|sh?Q`4&$I?6v&5n1oz+7adU%d{``Sa>D; z=cBgTMXIfWCBM{?^9ECONfe8BRTxo?ARfwGD*DCj!<*kWhd>zVncdyS?Xyu&2gv*P z&R>@_6J7zl*G%g$id~5ye8~S$s~0g7_o^iJA`j+bPloL`Y`Knp8N0i(`q2K=#n6;c zYPxSzce~mV6}2*-9+p!w?Ljyr6H;@_s+;~a&hQ**yjDtXRmV*8@=~+I@|tSZ&tO-UpoUbFxS=x*oEsP!KEqsKmUjE{PykZla?hZ zj4n_@{PNbVf7!Z`uCD3Nul{Z7kk0FkM|XfBY+BbAXCyRjK7R-@VFjr8s3Yn-z`9!| zoYz)O;S?VaIDQ6~IgtMukN-1<|8eJ)>j8uj@1kB7Xuz-84W`xlwsQo`=upb7}h zuz(XxMxcxNUv_aCrjm}oR!l2B(C>RB+A_Z^P>EPD5Y!quCK`S?j(%-@f%*xPUR*82 zT-Vt6IBg8XZD+L~k-@XSiu+~@eRp05NQQ8X*Fr9|`x+!B3XRuX3uhn#C*U9?1nVBn ziq)h+8>+KXq5+a>51S8uN)yq#alSSv0lphWBqlb57~w~*uFCU8t!v2>9V|WK36to_ zJ@C#helZ1fRg@Adt}qfqpGHY*(}ty48KcJ?D|-8>P00JM#U3jHWu|ARBN{?LsLJE> z2(y)Y?gWM`Rb>3WX-SK=AB&o;wezBWG!Hzz7!nvf?Q!q+k!`8GVKk*qi-vt?nC^+J z9}~3R=A#79Q`b4KW}$Z@5I;di+$v?f{TRgkAxNCY1jtV-f!|QUOwLm7=4AY zo3R}fFH|Y1aBv6cMk+jPzFghXbWS)N3+U{;$ajY2h1L=r)DIBECCw524|TbJ3U_;I zwEVXp0_7ZScE3RgAQ_jxh7f)-AvxLwu#*5hrQB*Q+GHzqk{9ozBk|irL0T%8(kB3P zC@A>lNJyF(6~S7qE2!{FXVN_iBi3DuZZ)Xc>^}on%3uLqm6>*hl4-;aE~qTb!Q=X> z=J!=Tx$*Stl^WH0w9nVIX2vvq@2!Z9ckX(W+to-;_&NgB&sxe=MwV&>2S2H-|qUIv`dX1a&0gI;tC%Mp?*%ynp1_BZ-rx+>KBuJ#V3FR{yG4(^Qu z?ix8#!OvtR#R->YY(VmN4&}h{UF0&;_g}%sFFGY7=MHH+BGiGd<@brlqWZXdh(To2 zcIz{~8d_7@PCG?Rv7PRojLCa5pf_Sv>0b${W>&KX)q(n2r?AC{1>N)e50G+-78Rd& z@BZG0E8%_{)$vOb9M&*O)rvc_%a@!e99wgxikcNpRbUY@Z3B6<0?$$-Y9XuVSyss3 zpF^#sCJJGJi78_ad_x;i$8`fAYTv$#4r2ec+VSNP4~5%p%9lErWcmPWe*W%Iv>yg7 zNM}ocq^b9}%^3K<-T>4^7oR0n=o4`oypD9fJ{Dhq)=$a86O3EssCd8S9LbHVF)_Nz ztoyE*d`YUZnUZye6#1-JWH;{uof~zMApxq{%$d{6CexOu5e)GE00@1hCDcE7}RcTj9I(pN|{7cm@>UIAAjY-qka7>cgsbd^_K&TBgFwW znspjH`eDn#vxv%vC!RD+a@6Cow^*b2;BfNn+M+BaZTCQkzE5qA(J>r$Z2!CeNjhN0 zJMQrEd;!rGDiukD(@(#9XZE#yJh7}HNyyEaz@_a8=tY ziPW#{2PU)-c-`|ot#$47Z9_{~e_L;(X32(novHbXJ4HtDFA~#wWgrcavAXCH!@JD> zW_5m203c`#)bFJ9RT+pdEj>uc9+1Tks!=)=&qjUOcTB)E_!#s_5tuix8ix^TCTrN~{Mw<`-`zFN#~% zpUXxgG%m(pM#q?0@6uk-9L`+aFh)23?JFgIbW(oN)GFnDrg4;c@jLT^7Io2be#}eg zf6PfFzm;eXIvuAyCFLTL?FwAzKmT2RZn0kZHS=O|lTHqcp70&*srj6uSj&M}CMAii zVz|`Vo0o`NYts+0=p9dUTe#w9pl2d}VMMZuUtaDV_tOJ&m^w23ZTODDMq8qpf#=?S zVwPVdwywTFCKAbt^^WA9J!fun{tBmRn zFXY9&TP$pNyHy;E0!cwH;mkozOlC$95d3wcP;I=2M7ANI3-0hL zdu}T)SWZq~!1uYavVgu*{KOM_>&)Lq#_aKQL`d8WOV@Z0DEi@0-cuWFdTy)a!#gxn z+4#?>FgcA+WWS(uGK}bRgG(cN1_`b6=!a<+`n>fj_PXMdw`66-R6fZWhNF;yBwF$6 zLO;VKiCER1ES4@a^OBkHyn2&29)eR>k}RwIS`H_wK)r2{&fC2Au$jAq-*2Xhu{5k~ zN7*6lzQgY8aK{9u@lPXn#8qUlmT!lFS6l>=UKZ}Gi(v(=fU9RfKtkC-N$2exHm^$Qq z+^`&_1SQJ|w%#Fqd48MC&}<>(R9IE_*It@o+qIfl6YC_VFyV5M&Tra8vPKJdP7xu#QO=jXZWzjv^j|K1D z=FQG$o!8LB$36kYr8jIkC@Syc=ot-rBpQcHnt|~inELe3{ z86X%7iR2D#p1m_-^<%1}FVM$nxPbv{^Jq~{ldJ6MOX7$qF6(Z%z0$o%+{88P`)Ztz z&fU7j$Pq^}4&Rx=3Ajr{f|5i8&+?VL2x&#gS;uX&hs!9fEq`8OOxK z*%NA-bCB)@k0%-+2>;=$d*QMl?fr;B#Q%w={-+c$O(ZMr7m<5|#Y6ZXRv~&p9VeT3 z8OjEh;o6_1?nvp;7)#Qqj_~Ml#z0)}a?^FVdwsWzvPq+0(fUqllp3t~fw7}ihnZb# ztuRf8ow98{ct-uZP!;ERz}i5~UBZm!`w7`hN^^aVQI&AApqR2(Z>=nLn%NK$hw(zS zmWf7{wJXc4yaB^=%O@+55@&J=yMe*Kl~?5b>CnW@!t+X!JiRo7WSe-kh?}C=KIFWa z_GkuB=2o=!RD$>UHW)PR4dNPJV`p(5~p79!d3 zhnuliuWBKC^Jfq{K@;Ti-7Q4g_k3VMG1C@-_6q`0Z|E6=V$^?T*Gjni=Z!_3S=}n` z<9`x$hI0LAWRnL6pgj;Es;T@&Da=rWlZqcl#g!x}w?e|G#%oEOUO%Sh9vm%SK>R`@ zO<<(ao)1|{_2{5TX7Y7zg@np8<(4?20c8em9c)z;zfp^}ivyMdbt|Ni8y$~z7g zkBilW$dNMX_%>oS-t$Mjw~6FU#eX>9|L|;`*`FRU8E`XnIgJEr1pUaVPk4GP3zrd zc?jfim5E93ZCGp<{9`0X7(*pRA4WW&^W@#?p2g#9@Z;LeNnWzO`ke`JB;Jl;CbQNXW>*LN`s<2E{3$}tF~>QXLUq=9T1~!jA9pAU ztefr6j(J08g|fgn;847JTEfw~M0?GteeD$3(0yP2? zPrn|V*Bz>R3H?ZdtQOb{fcHQdNu3^shqf;7bU;dV2ELQ3XL$DyhBe!5heOI@--GNQ z8deL&f{%Ug_6`x@#Q6j(`V@@Y;iP^}IL25>A__~x=^HV=PgKUH-ovb6G#+Hn5JAzK z!+t)leVnLW6YMN35sgCnzw>Zwf_xciqA%!}=7xpH%aA`!pz~ctAaJWjd)Q$)D)oKh z{-G0TiK&%$#XcbtX<405JQbOMq79exaf6MG_%KaW{4H+W6zvx2DU$37$6HR_@bWMl zMvvMm#fi{uZ}KEdJO1w#j=-Wqx3r%yk<`Au2h~k|uG5_ep?$5G{h5cWA(1)p!9uKi znDq^Aada|?@qBdNI7AIx+ni)N0rGZSnXC6PantrHXYH#iR?-g?t8OCGz9vGDqQoSl z)vU*l!NDh%{Oo7Sz4KqvBw`zeHt*?t^{qx*=3Q}2X7M}V2*&Ox zipjgLwbfz>d5K(bL5Hkl2yPlq#3Agkf<-qNl;2^h7Mj0z#;(LucJyH+R1>c-n;DH;5%5bwO4EH8ksrec}|wyorb;p!RnYok3p3MfV`$4g`{A_Ng=b#3PmdSkgW^( z9ud*Ghbh&*q&aaTZ-`g34t+S}Xh+}1`tH>8tx8Avm+tIs)n95=Oh%cXx8+8e8trZH z8of|!(JrzTPhE+budn}he6#8kLam~I=kr3JJEe&9l_>~3u|mC5KoeCWyv9(9y4@kd9)6cu0J^;OI!j8`oD}Y|2BB1UV$W#-roff-s0r zxYUU}wz&8c1lnyB>(3%Ar{TdP3&`Aozwg|5T{_!{kPb&gUGu@p}#2gX&{wTy5`0lhT-hO45xts2N?SPpXZ>_sDKQ#;5voCmh*gQ=#QDZmV) zZqt7!1dVJ-TK5e@A)70ml^{uzKz!H#W5pVhAuuh0MC{>5sEj zTF2M8@Z>jb8Ej$h?O|^^T*$BmH?@M)Jk$g?Qook9ZE^Jj$?%YVk2l#(U2`8<;u1!i z_3m>JtSE#BTqEUsONS%W?$Mfrf;RiEc;;BmVH(&d$PSsnZwz`u`PiE|oXs*+Vtksp z>bcJX5+|UchSmor^v6kXs)xFbqAs?A$!HJs@8 z!(Q2yqc^Z2Hv6ALhqH@yNGyNj7lU2+^j(v9h#_B8Ab2IXDr+T~5$1D(q|s4YGhD)e zCI}>QLUy+%@8mCSf*d}h#-Mp_L>q}HX zJ>C(2)K}QFfGi^(A^Iq{WcDmolNR7UK&Y75oJ$I?s~l8yRsP|@&bX0QZjbv zi`yb3-~8PF80rZ0=#4(Zxf#itp6FCHv^ndrK}<7?HT$xH8a*Or?~B zua*{WlwJZrcGRG^Z=svwzIc!XY4RwkOSCC2es86H7YeIwbx}2T3FXWgtXOo@->5V} zTT%K;@5EJ`S?EpOoAMQ$J||n(4^KE;D%W1_n^S`h-QfwWqAO-ln@WJpq;c7ag>lX~ zm@TS(j5a0s-{xm-ulVR8opTkt;S7``49~LT8)8^t0=utg_R+{skA&?$@aF#A_J_DPV+QsoorkS{$F!DZrxfR;nft8J-D4$Ej7o6M9IV!&L{Kp%SQ-a1rA5x*}XzFnJN*g6d(`jAf^6iz{ zPD!$%C^C$HA;|(0qe!ifoVys0D|xO8(&M#>>Uz9ap)>Lm0~b3iJiyyJ;-Spc1Yr|` z>W^5R*Mlb-&Fhm!!pxLUK1;Ae$><|}nm#5`Rk4Fy=d!x3jQ^;2z`Cr%df^0)wpJq( z+JfJ|g@E&Xn|_D>%!6wsi_qdGPtOzoGgK(bJ4x&z=FcLTDMhV+xS_Jn?NMJ0OYQOjTj4z{cH`SRiQe1hN)a*DDrGWE+YOTry=lzF?1skxzQr|o0uwAL&SWMgne$v19?f>n~>JHzh zF^~9JbDRm15x14h_E-p$)l<2a1x>4JTwqJ0Pj_xKL3$YN!wJSG>L83Oj9K8g&ZX$m zLNRSx5g;5=baL+;F`+4h1??!NWpmb26ap0u8XyE3Qh+?=)`G0eKYVj}N2j*)mKE;h z_1l1dh-Y-u9;e5u?h1e=ND1+tJSOiw*pu1^7HGq#U`H8m5uk}A`zC6@P#v*m(0=3Q zfEF7p#|jv#qX}xnZ-P)ZJ|EBT-f{81hBfApGU@;^7m7&k?(HQz29Q)HX+I$Lf3@%K)Wcd8YiH8!I!e& z117Y3sW!qU+i^9yZ!Slj4j!^j9|!T0A)mxvs64r(u-+V`x28(qR9aw>2X45X_X#0i zVjF9Qv$|u~xaa8Iny%XS#Zrb0Lql+(!(%k-iWhfxL=7-BD}s+absklQeT04+sO=Rr z;39?IdmF&RN3NO6VV%6`y8>?KjI_JN35%gy7_7%LPojV>UW*E~8TEC{v`Hk)y;3H&m zQj4?7-efzdu6~7^-s9fx@ljeqYxq5O-wB^9pwh#Bh;LcqlKBD)NZx=mX4&WWW+n1Q z#uUjdY%Cq(RwfEtk8EUAP}A^kIBrG99-x@Zf5w2W*{=4fa}is?N`7gKhzGVTRF*){ zmhBhI;*h!Z81-ajBmvxjS(pJo9bLjS{}}Hq4WQ1b0+Jt)>th(!E{s6&8-C!16}EaX zU{`N<)uNDcIs)2ZIbcaTHrQ0iG$RVx8TNTBBF|P*t<*3cVePFLdBlbcp@<3VaHki9 zy3Y(L!~mn&E~QcTF@}916BFSzTPYJD+UWnmz}T3H|CYmV^(}Z$?JwR65iI)#iePsK zzS$XbT9U|~fxl#6dA*}Z0Gt>xg$%H|9%&7{4j*(As#f_Y)?6B2 z-wXFRAHRnc3I=ew#GI#W{*ehfxo|RKFRipWIkvxIBM$uR3XIk9G@SFjJp)gfj-&R@QuJKNVakid2^4as zjD?WulDzR9HXPRbG~X$b+jR~J;)U!twIGc&>LUxTPc!m*xOlqbVaM^9I181NfL_FF zNsHdlCjnMYhYNF7JOQ&)#)G}D5vH$^0X8R4Upg(|ihdGp>Pwl3w!wJwDNhlQ-%AKX ztQ^SQ1e=(RCioF%4ae)9qpeGx0)g7m(TD|cq$y~f@m%MtlU22XZz%8FbR@|R4~lf1 zd3hzjzueDj#Ae_mWL2zZzCG}2jUq6M3sDhxZn9)iwBazth*9j$;oiY$kyH9N_YeNP zRNGCrayGt;XYjJa2njB!y}J|YWh&RK+xOn*fF-Nf%0X=cQ}LHobfc_8;N^hQSAy;NEt1EH=5qD7wmy%|y@tYQqY`Ei zB;#*1q(+2K2Y4UHqPBVa#?Hx;CI}a{vvzlFD}w|(DIfc+zuBqpxU~yGpCiI1jDJUFu@VSx-~l#pHQ^g4 zgGhfL*do4VDfgFYICj25HKEYmG|OdL#xU1e9c;B;xZn8ThS$W;(>RWvS-ZbhI^2{9 z-}xBC4LJX3l#KyJwpdv0pKJL1OW^Dz>>j5lojx7uaS)AP^rMrHmA#Z6Zhe=$2k^In z6SmkbkHegQ$f{XC`e)X6WF%pxrA`$Xn2Yc^l@pHk=EU zHw`Xvr>9NBw*gPyyKAv``=_nQ!$Qu9;NG#+~@tzcx8uRl7;Mh1c=(siR zPf)SbjN^gxUGSAGOU(DT*V?$4Vc9Src?#M_AW2y)*JE|l+k(hQhWwrisPXo-`tg;9 z{(asxL4Tij;3v`$x(8z)^P3d<)ZR~V@Xg|2H|ZT2U3vaRG)Ubip;|H~k}b>8QD!28^S8GTkXIq%H+_(z5B! z&g1vxLgQwgi`ho7twLuryDF>w`*dgv+ki3aSo#Dm(gt#vhuPusT>IZ@9t2WJfy&#o ze`0(&nk+xR5B+phdKdC=Ik_H&d%53;Sj4RIW>|L?vrY@kpnr7z`JiO9=!W}`(j)Kq zTOO9C%y8mbbZk7#?VBcyXM3PN>Musditi-I)uZYkFkw) zDme>Uu+ZB0o^eO^YZTIQbiO1xqX8`OGFPB%rub8|OT8G)3|rL^WnKyXDSuZYXgEsu zM@uAuv@cnach365S_(j4jOfRr^~8y8)H2C9iMUP`XlG->sW_x z9^Zd7E=O)50nPcH0Gbe1&nj8nVg^$~+kJN0%j&O`ey{vM)x9DC!`GT)BaCZu*gI-Z z548KnlFQxn$6e@)S64@I$AEngBr^ND662EX2?)#U2;iPNA*z!2+BX=#)nmnfZPfs8 z$N&#;v7nmM^HS&00#(cA?PA)|Fa_+H&W4rW!fu+vl3l~>z+mwgn2;ZKd+3geh9rI^ z8Z?8)V>TW+V9$4$o}2swkfQl>xnqNTF_z;od*E`M`s}fy2N-=6;WNxXfN(BmT&SD} z^KBycV^_TI6u~@-;j31N;mZ_rr&mEV=C0PQm4f3jk1L2k$>GD|(I$U6?NDjW`xM_T zb1O663xdU5>8Xj<*&X1`NarG7S22Mc>uaY&+^aD_#?=usJr1!54C%kM2YP$U!;zcK z7D$gx+`NqcfC#*H3P=)<1XvybGiWqH_x}!Wjc~)qfm@!^(68wK1!e+5RkQ8@@Yfao znY_jdGw(Ec{eBOqeEe^4fm^gu3N71>SPwOUjPA&Fs5@e$`Sr4x64(GF)z}QiS{{+C6l8)^vb^UL)uHphb_FX%|T*S_MjHu?LM4sUAgyxw&>crF1mh`#=Lr$2jL^tWNtOax-Zg&d~FgOiEs<{Ib3uig*tOf*; zLKA2oj^aBNIopwRAAF3VRSAq67EL#|r(+x56|%Qjz-`zXZ!8a*HQw~MH4ftaL>yB7 z>BNsq?=m}yHn{I+Yd&NgUrA3i$PyP5hfW z6NE>0L3(N@HSe?`u_&u&V(k3k4J+u75JQF-dF$J}1*CtIby_$|W)jg;t1++ZdqbuW zMEqG1A9zI(uhP*8Lo992oFi}WY~zg2>BQko_(GNC-_rM#1ge{_8U21%`IJC{rvt{H zuRu_{{r$(?65LK6*j$=7I$^huF&lok;j0fq#J<+iIc1`h>5byJ8kwm>>>?k=?Y;e3 zFP?lTHdgX-6-f?V-SMUgsiOkpyL2QE(e!P~vGzOn#eQm#z;|)?YIw$t?05E{#E_ZV zQSyDRscgUW0_q+W&)taPC+}t+J$OAui-w--Ljoo95TxAMwnEBp-Ke+zf|GCA0WSqpP7-KC+=qM1uMkf4PNmp|Qx? zQgN*EEI!)(codrafit$LQ4RX(1zCt&sy}tc@-$OyiLd0_86)9-_lpJMIx?j@L)4 zx08??gWe?bcNRP5xTx6Ty@OOw0eC|?ng+K9c$4G zsH%J%c|&jFS@c2Z5B&{5{C(s=QMBc~^Nk4zia!$OugQ)jzq6#WLb})mm%w-i9fI?E z1}g_zPWPKc(|t4$MiteifWy%id*N$ZBM};QN*xlay>RAauKkt9dLFc)QeJ7zBGzpX zb5Mk1V~KyC;0OJGWM>EE`c$$@nG#6IFpfATSqyW(2+Uq&)i{Wh`9_E<5IjN8w)%AXD6 z!b%1@+C@evm(cr*Ft8o8wUpEXbB;xCYq;9}id#dSe$3xONHZUkW;p}eNn2t@<#%Yg zJtSi*x7-i6cjkCPWo-=ZKIxk_dH&1PD1d($b03=j&HP6;ZKXp{ z3~85BXYq%q>eri1%m;CTsmNn>$HA4j4c_KFu>1)}X2Tyq>QXNS%)t|AkRTHk+0^tM?p7UDXrr2X zH8eWiHh9J}rB$a-+&jOtuAv2(Bp=UI1K_im>9n$!)@t0}=lSNgm@9q9W}|*=JnrI= z$8-W%VS;rU?i)&?v8R2Ig-ap7K%t&X;-STkyE4LI0-8D3Z3j>TXrhjX#46weW-oX+ zjtsNblw|)DuTfSQ`lZo{!>#_c-M7cepeM=C_;?q!o&xWRctSGAu2n|F+`wvhnj0lp zU|j5tX0Ll?Cp}VKq^ZaOP65_iqpk@tWm2D<(!e~HNW7~uZf;W zG+6n{T}knHve(1)U8h#rog?j|Bvm-iJfRurWK9A@bLC!~!0TKWm~y6Q{kDQN!%bUslWfsfFjPD1q!Br*Wtv4AuVKX(Oj?EYPN8to7 zYNZN*boXE0!VlU+#CU46Gt>~Q>GXV_wuQvMzpT;x_u6)yS(OaPW}Z{Z zf8F@IW@?)+-po-F!3CR2)kZEY;IeP|mitkdS8ihd+o?I@hk{3qqn{izWOdK4nViYU z41Is3$kileKg~!2Xe;mjnoh&@bR%?f2dQ>Ax0OxHyo`yv!5B1-(*)%z&L13Lia zhnq6NevQyNsDL!qIK=#44|Wz2nfgg)nofnWDnY-Z1ym8b*`H~XVYyCmrLld=K0e}3 zJ?ZesNe}3k8lwO9MdHQQ&KQNo{AB3lRs3Q-HcYnDw3Iyb}Q7DV*8oT<0}F&=?9&=~TXG z&zL;2@djTs*C#LpdJ>nx9GZ!owkvq#;3sRh{>}e9?0whB7CA#_tjS+dUPIj-I`fQHU_ORbXuX>b-7FuH{&rjeQ2*5p?#+?%zWLwymo@{mpDxE2GqV%?CC``MZ?e+M zEwoFIvj0Cl?Z4q%DZ78X<-f+4B$>YYH!^=+|Jw)of9vdySW>i-WuZx5>e9bF$7|18 zpSjn9VNi+hpkpp4nD0)H^|W?Q?!eZb z1Q%j-kgL!=MNR!~Px(=7ite~@u!A+JF+N=$?khQ}vCy!MlLd`U@b z3(JgCxA67$YCl2ZCxUZTJy$EaoRq`f{(7Dgp8|wgLDdQJ(cp$SLxbV(hGiXw@sJMT zT-5hxS=COYQ?0Q_K)gpUi^U#t;@3$4t!8G{0L?e$ppam-c!Z+4j?oV;AlMl~s4Yp5 zxDj#=mJ}+Ee<^#l-aOj?o{KXI=4FP3y-8t&tq7>=kyud<9}a?xqKAMUv+*y(vB&i{ zfp#+xI2NdNVbe3IWk(MogaAOY39PjA(m?Mn{(|deNsB0WM-huXss$7pO!CwNw{Br? z;*M`4-*Ed5mL{m~{h?x)^MDI^l2xPek+PgH1Z4UEHq2@A9!w(J6T30lbTAU>@zd-! zr;ebnlR}3EOVwis7fk0~I14!hX_gY(k<}^CA{d9jTX>4oGfx4u*o`Y?d6gw|xMsVH zPk+>h-dn*jZ`}S?V{Sq_2+aYYDqHfB1eieY9miFvfTF6wJd9d$Ls^>}Y=2>s6xKD) zyeB%JzB+W)O|l~@92Rt_2=v#v!@_=hQw=!&T(&d@&0-dP$wg_weXH+nB0*s=9m>(L zcfy4KBAGt*8Xy)>o4f4I`@gw0W^3yQgs?gLz*M`~L#)dqNKIb~R6uO6BfG0R?+*=l zuP+;fdK1N^=e$YbO=`P4DcW=QT67gxv%t==3leE#favjU$-E0GW(w6x&pWD$DV!S$ z5PKH?%>x~1nKT?zpk3D?HDoe``}Tmy?q0=&vPOgpl|(U+L_X>?XRPjcdj{CYX?V0F zt5E^xi)sxT#qo!=Q9xg(QxK2@C)m`&_$K&W$hCsRiIWZ{M#a_)pUp1dl@dVA`q)=t`&t^;pp-o!h<_AlQ>n7W9?M zAHO~IlHqEtNn7|1&^QmsnBnxiz?NCKY{ieYTtz4s#!4;zLTojVgrQGr9TN|BgvrN4 z;#FFVZ$qo6#c(CQ>0>VPP!^c(-0C-t?maedzS3p{yN}Nb348D zfU0`nr~3pF5AY=*WK#|>9%dHD$;>?s!cK73pho2vK1qh#nI z4JB{A6)RFGs_9)z8#5J52tW;B0@TpsLrXOf>ol>pUR=!LwY-A91okQ$wCT$G&-PE^p)2fRFa#+~uVrTKmc;J|k?F~e9_WW&wEI5MV+ zXtAL$+=(-$nRi5eZ8zWrIieYJl9FzIZ)Y;P%gTh>a&PxvN|>veycizml~$5(8;@#(-Fx8-|MpU1gjz}INDY8`iJyd|*dbC@__EKxWB zH-NS#PBYiZG8cxv1`^Dz7wdBqs6I;O5b@*{56R5_vJG`LP`@58J3J%mgfa`W#pQMN86ba(RfzV- z_)i61tD&ojfD=b7+kn}G@Bw;==utPWB|gZV&qKIS({wPSr!#`F8Xydx_3WH)cWHu|!fJQ8= z2RHtIN5}{TI0nE205K!~AI}C{J~xmfdf6$@l-#mUjtB*l2#9~> z!TI#2cM9-f$6fLAi#*kqo#K|o2;jMcNZPT?tx2ilVX2xMz*4P0N6jv7JwmkpTs5aX z?cStU1s+05%RCQjA-0F_dYzyDth+dvxqG@i(U3!JwEqJ5OSpN#d$E*%+dM4SmG9`{rl{O|g)hH618)F-l;qXr%4979 F{ulX&=4k)` literal 30501 zcmcG#byU>dw>S*alF~VVlt?!SL&J~~D$*b&k`f{?grxLvSfA{yU_5SnDTC(Pxv(N6c_dffK;o6TOgm-E0qM@M?s;VgJqM>1d(9rH! z;bNenp^GJ5_5us&Zn_Y8w9-NPEntB8QchD24Xpx(fAInfSliduc&Y@<3$ye4`T0Sd z;r{;q3NG!yci_v5!9NPF9rDp%{?zR%x_11Xya8}+*T4PTBOn1L6zx{sfrU2iaXPJU#)hFxC= z?Z4wr4C}f6?U_R^Z2Ru|x=3o}`uckRx=L}UQG?`K;@bOKT%-NzIj;V-u<>=@wK48R z861GHY9|@Go_$a4&~nXmy>jgiV_KxX-tkF%VBR!!eSPGf$?x;}`im?8d0qBY_Bu0u zqfGl+<@(FDMvM0EwQEe32XKANBG#-S^BWL?s=i6vAoA;Le)_}f#n;#Lv!yg0jsU}W zuT>1((9o#v-TXuEWj~TZLvtrmRg`<`X^Loq1+8wTPkb2&A<=S;Es^N15Q?3bj%)m8 z$0gSKxFC-|-%(slLdzz3ZW=%BWo>vmVW0cP2OcW+C|C(rpj+Qb0r4F%#Bp02W6C}Z zBJ)-4EAKPahpHz&4eH)(O=Sy=XWJvsN4Yq00~ehn0%-z5MtiWH> zm;$N!LaB`><+0C3n&k)Ka^G;319qG7lL^))2NKdKgQ%fFKi2}Nxm1{y%4&3|1WA+> zcco&joXZ=etO}i+p4jkw0zryntIS!MFSiIf1{I#{g{?MF?`#fouX=L4k4h$o#P~mA z!)vIl57LgA)cxrt{?Yrs2PnhB{|3dDj}#~jMuL50PH!if&9-3^_oy*kp2*iR4wkP& z{vOjnYBZ40ia##!>l=D^x5YW*ZIuYmPvqnRdJlM4MY=e{D#Y|n)MgUGD%$Lr{bQ!a z5+}vRcH9hFv24cmyU9CFCAxmSOEnTbj;_wS#^}&r`WzCK8=GjT(r;_Y>?RvUL8RS% z{SJ`u0arO2{*UcLV5-5OJD5oaqwBiIkNHN% zR65HQS;^n?A>>OVqtjd7#XFi~K?C4o^5SZ#rYRTN)=o!u$t^2$oFxiFZ58CzxDc+w zwCYh!QYzG_n$5a^CmCv4UMf$-T33>uukCxS?dZxKuAG$GHem8WUZyBR{%iC=*HW>$ zvq>j!@K95%7h6d^TOHS`$?*^NI`EudR7J(PKtouK{Bo}jD69gLa_t?iL>fg9HCK5o z*Y`C7ozGGvZdkRsOkdhXKeSgZ)C+3^GnOGALfi`n=;Iw*73l6xde^Z)aUMHk?`U5^ zREIdoRl-+)2jCEP=w~@FL+~ZVHI~UBW)^QFK^c$Bw8kVMVX+u@b5)pILOuh2L{4>h zBJ3^V%Yes`6huuEO1B;SVCQ?tof`{~Qyd!CrZ^}$Cb{+Z_MlQ5$q!#kK6ZcOeR6C= zYchj)fpyPSHehgY;c{2*xNCYkNJVoDu-DgztL|rqTgMjOu4m*QXUPvQab9Mor~7(R zHbv9aP`OelcB5nUVhHGo%QAa)Y`tYZiAY1WeF}P)ew8EZ#ngG%KE#2An>BXjqOJBw zNvBIoF2UHA?{%Fjr=wSMfJ@(>pjsB|i{G9E*)8+F+b1N!|QnZbeMpxO;?bzkvjkj3<5|o-8H6qq@IeoWNve z4iOqs9D$-3%{Un~G2rN`LVhpdsdwe$_!4_i~Os zDsemRpH#%{IXE>Yi%lBA>?*=Cf;vm?6!X2eax;2gTJiP>DQ{;>y1Bs1A&0; z;!SfTOoYbSr*c6TS%-_gQZGI4`eUHv`C@5~J&n@Xb7VVySb4u8a|=wqi|W9MUysDv zzr2~AWa*q*(mo`rE`(CBA?~{GmAmi8$=Zig;8X>#LG5tn=H%Uj;6kEio?r*&yrjx3 zLxXOAL(xcOd^~k7U-&Xf2zNRbe!W^qNHNU%B+?6i#lld#yKzgM8R2E;rtGMj$uJ*h z>D;qj@Ww6j{MG!S)%OL}YD^JIUG*=NoFUN&SBUM$+MY{+v!;Sc_LI?8CXGiqyS;JF zdp!$;N!rfpUj_Eqqz46@_sm*9QcwicB;<`GTwtzLR_DdK37qMOmt!2vWX#0KZ^v+6 zeWrh>6gQcfxx8+g_bt}jQ8=x~oH9zG>m3yp5QdSp~7{WZklvOYtpT zca!_F#D1HG7{7XCKgBIV;Yz*=9&gBsT_A>tc?`D4&CL~!KkY7g-srB+`$+k@zKZdq zUi5njKeDArSlBpOy-$JD*jFA~shyUHsE9N&)RkMw;h8#L2G zo{1^yw z)-nU9H~)y@x%)?s6-!F;oZI_+*SB9j*!m%t6;W6mhFUzveQvifd7_p;(zSOF`hcJ- z7k=aDv=3M1i6Xr_T1W1&^|t1e#XjjqwaVvjuGjWqS^9!?4N^FBX;!*<6A`ZVm0YRk zd@BNB6Nf{2Y5tRu>Zm2+pkL0+DyU@!DQ3#h)(gE=IhjnP1|x?7dXs|KXAUD=5X&nC zHLlay;9+`n~(i4EJ^xL98N}31=Ve`nO`F3qmx-R*w3`)Vy(2M zVkuffi*ZG*l**a+I~q5ZNWQx#4)QS@z1I|7oe$H>W^@?IC+ARHw8UArgnFo6GKgAP z7mMar^G4~r6m=?nanZ9vN7xX*_pWK8!Hh4WPvKvvp(1&balh>AFZ$=e8X5qf&ce&$UXV7#g zcf-@LPYD>WW-)r75#{nQH6a_vV<$K@e%sS*TTj(5>^&|w978fy_{4fZ%>Nw!O+>F8WMIHR>V@#-U!BU>fNO>5z%uD8YRBuXO#JI8DRcSdQQ zo+;5=D=aTu<~7qj@v~L2?|Dv~lNgrEgrJ<8j%{E1U7-taj(l6fzzs6BUl1EppRXM| zl~|)@btZoBTq2fI#fYhT(8Vy9G<~~$`|Z1Gqt_jTUMIROkO$`<3v+!@#F4tO9{4eJ z%!xX!z38v%eyPy9iqDM;l~A)T1}=!M8uGma6r$3I<LO*HrNMkc~s_ zdWyT!WUheCh(KpQk<#;D6e;O)asmGm&(&ksL1HpAqEUE=V1&44u1Z5OF)f zL3xSbJza?9>;WxIn?Q?xPdIiiGX&0E8irq{G+^dO9kq>9o z$)bcAsaEO=n1NnZ!S6j`GXAL3(7}#Fw^XdhrzpN^ziE8UCq%jPflgw%X+mf1A4i?e ztg63_dh1EyyY1oRyy^|8Hqw5pK$Po*%5Dzutkd0(^DycQ+>{qiUr2+9@tw#;M;@s& zm@KAdC{K=Uc6zycWq7^Ac%>eYZ@~OW68f6bH>^+u@E0|)TkzPlsc;{w>P_Ay63 zMZQOo$AM|ZqmQiz1Qo6aMV_ak$JUbDrcmTEpOo~-coC0r0gT5xtCXzo*m`Rz>()(< zk)`L6g`vfI2(>SX-D{#(X$H41aoDD~GX0_4ZLl{pEfJo3r~>5(%lKUTe2FEH(>5h| zbJrv|SVdC^2M}|D!6AFAP}Yj}9}=6sb{v*&fchn-_L@tVw7 zE%vCB+zzd4@&jMCx0Ja&SSdE)n~seE)q)=Z_a-A+lA*2ATtE2B!rmXHM2^TUlG zGx@OHcIR$JW}f!P*{cr6wFPd^HyJ5<&?_dLeL*av)I+{jAP4F>>?Kx$h3dxC1>Kxq z0~>V>zf?(lc#pawe^EZ(`v^Ju<8Wo-=orn7p-%Tj>V7VIWx@jl$qoFecx z#DP`M^W4O-*nmH&XL`zQ7L9h=Xn2p+9$!r@x!f8a^pnBNq>)hit$Io%p|rfDoxff6 zO4Z9HGQ7c#hgbr}M)Y+o6Ke=tbzJ6P5_w$aT;Z)POnD?hBY3bX8bM}P>x@8s61XDmq>$TQySS1*rQD#p*iLjJ#;_*D&oN^m919`>{z4b z;c_F;Ga$-!1j4autY^p+Il?nt>8^ofzc+ADJ>;Vk6`* zlz4nHLfe}|EzO1yPYzzvXZ^wM65RH%?**q(`wD|hOhRoAeZw+7srPrHlQD+%MQ$(^ z58}b&oN7z)SfyGk`j~@S#altZQl@^rs8<&*&G?L497;K4#C(>8Yp?SNnPtwYg2vfD zmDj9rpXiGPJp+=NQCp^AX-M_*E7s^g4@pgxs77N1_s?9tmxvX=F!^E&@vz}J;Qu2{ zBD1D7VgB{m2I9e!M6tKED|_bun2zSR6<^F?-kMYHPgCWxCI+)~4Xt7kAtvONPs3;>50SDXwkjc@8BEVTf7`1KD#wG;7WO?T`d@_rI>&M zRAzzw@pMRUtHer}O=j~1%TTX?vfQ%Tj~mk=(AU)BENg03p2p0LC=fOq)@l8FTk4aK zp06OtZoX_PJD7faV8Q=> zY&tF2DpNznFU4Z3;uaC{Yt6(EGmlbUiL_PC25ya2)3IPJ?_p1CvePuj3yaXdP?;isun4G_(M0Lo?dD7mj!Xa7PAe> zpop-c-+1_32z^!_!xCT2@ul%h%3;-t=rqVt^xC{eEC;pnd?OB_q5@OVD(#v0)ooGs zt8TarM9juUV+EV^j>@o=tYq%H&m&H?F+!(1JG7wM?=eUBHUO7--g<9wsHa}L5tSr1 z-EBdFedZLKEIcP`I3#_@dNdW2cL4vGhv+zu3+ktaIod~ln18WzZ}e5DBepebFg=%_ zSFa>Lw;97h;hI-2?)lym{sZUT)5xS6q%up>Ng;YV``bW|@y}5TG9M3~Lwa(re6XjZ z>arJ?s@cc#Q#Tnr300~_nbeoc+B8e+%THAL%A3+0dhY8NkPPNcS1;}QeYq%-?!ETJ zOe|phm0+duX}QMr`vhM43f%q}eFy8TAa+~{hB4vq&D@;MQ-Iy%ZTEZWjXhzP2U07_ z#VUQ418fIr+GQdvfauyo3ZFCJJdx40hds{mhufPr7h>01ij2?2;6G8694w|!KEEIJ z8RgM|oQZjGw(idiHs#gD?dH6z7Pa$&_`SGi>lb`XoHorv(gS7JJhoOwj<;rEhceMCR zqhJE2UvLm%&$&Kf+@x#uzz%EiSv>-?5WnmdO-A_H|lx)@}vfqVr-uQU0>EH4Ok94-CEyN_)q#sf93{~@UxCP!o@P9Hyzc{6y z>L`78{m7hLL%GLV?O#X+1bd;ZKq2zrN{Ujtk(uQWoC7;iZrPkf<2dEp?B@mj5s!FI zfzhA#-))oL_#Jj$R-F^?S=wR!!x>kwoyeJ{n;;)k9#-uOG7`(zK13*<7PelV`1bj*!bLk0_^n zpdfd&=n#zkMgUOFC2X;6W+)qfMDo}(#05Lo#Io7djBMmnQFlv;kS|ir!{z+zV9)VZ z-_}m#R{NJR==6T*eHWTxehJ0Jghi?(eRLplSie_p5)*?#ylQ#Gz;(<@WHQKxleZ*Lv;R^2+ZeD~%nLcv?urP&b!a$he>--8#&0kt`& z($0$ZPOSfJcCGqw-ebBS_bK=W5wlTVsPGPJ+4c?}%2$%TTA@xbi*#B;>>HVZ>URMz z`^)#yq0X}x8ZB|WATJ=@5u&axfqKw|;d1Eu+!ChVEyqoDL)Ipo`1yb{;s5lx|K;7^ zMV8I8UZ@2ge|lG$_@7f@#6l!<<8@~LItJfGT<=5x-a7hkZ~eb`_5Y&qe>p$lpEg7; z8Y-|H@Bk_a&G-LxwD6jKKAKb>>l#ZH^2|V|`URXbWHltDI;$?HHY?><_bk70@xmk4 z;8+&RXIPhc0{2tm;exg=qff;xA(T?|--i7jTG@Z_7`J=_6a|Pi1}mtQSnYFO+p0pU zr1z$u|)nc z_4i%dy(#q@^1NTww$XauCs+c}@z2!yIU>FIW96r-*BC;z zl&gk#prc}X2xNVC4)zlzcl>C?3;MwU&>LMx1%lzSHI|6!oQO0Hg1M;UuKDE=A-v7h z`Zrm;uiIMDU{^Ck31{wtTte}g>v%h?U@L?t7#F9G)|;F+<2-F+Nw#Cp;M6$3eF*1a z6vkz^s9lOA!iDwD*Zq0_BfUlFFMQ|Ayy7~T6+dP4c%0v7)*5&#!jZo&%`rf~)OK%K z?^qXsR6R9*u6l+&^in1DGx&q39F)~D5T!DMiHNJln_+oHRt*_t2h@tLS4shMTPWNf z2KD$A6o#CAokn_+gnHZS?|b%-FT0aquMC4q=r)aBS$osRuzl^X!g|DFpH>a2WVCyN zQ3oN^vSF!_OfPCz;vd@}?YxgAB4xl&r}{KfBzf8`ik9LAZJ0<%j=3;NR#l?FFX>W( zlZ7&_+zVy=>weh+Vx9)@c21Nff-6BlWrn3Np?HQzi+aZ`;f80O0Pr$Gk?Thz6*_d%Y&w1JHtlg$d& z@=$soGPQRqcDb7F&~?ixh@iVx?+R^9K8U4y7{46ZX)C+)?r3MKdH2Hbabp#%Wpz3S zYl8+_?Oy{?e^vDgc49t>C=N$FQ*4kcsdy9f+h~GNU~=zVbaFlSb5;tPgdtvW5`Gm# zfIq#cF^ZoWldR=}#lx<9^z_2p3H6|+hyKpQ1;oe*-EMoQPp27MHuSP9X40c%a6p^= z&1_1P{>l#4C+*t3AAB6M&zh)(pOP`bMJ(EdCQ7V*2T7$ex9;alm&*3tlF}nUR6PIt zO{2?SqxvcN^Yl(fxj(dMG#}(|RrS;M0C#(|RX>o1rI%a#qIh5!jxNL@yo0hW{Zgvt zzZ@Cy#coGAcv{i-RV1GF4L7Xs;q7JJB!oXPGfGnB*Dq+hmTIZ7b51>KjXv-gZ=PU) zp%biJrtk>xwnAQ^^x&u#`KblX{UGz$ZzT`RFqqm`)=HKbE_|z>8i08H;cj%=toq6p zuq!Obx+Zg}BYaG!N1;(0;w`m1;z%Fa>k1Ajkl&L~+X9hndrZ>f_9@Ou=^80Fp$x<$ zb{_$*3HIV4gFw_2*RXbxaZ0*L&w=o$^X!f``|fY~jhDMfC1Ef)Sy^oCJ?WN@x62Fl z3Aq>w1?Vot4Zin++C@**zdt={)HWjjN#1T$3xCDx;$GXKf356t*R?pw5Fs#197D%Z zW^H$wSoaLyl5C2xvh zWm?%Z#-W9?J4}B{DgQEnG5wFqk6t%Ug@X|~rxLx1LDMT^D#qmWG#9c=I5;A5$>fev zyeIn1GA158ICwKFpj+duFL?xny8?I21P)*eb^4S6xk*@4y>u6LwYOkWGO}07fyq=K`vwSik zi!e2bknyMLuCTC$HQgb67WGJTq;KkNAUFc!L~R$TmT>+_jnN7FKS(^}9kjK0>i!EU z;M6zh0ZS3dWP>06wX*eTko;AH=@B$4)b0*>A%NM$BFu%z+4t-jO?FnwkE#;d8Oy{e za~KirSG-uu&S6j^Cc*{(*2m!Z|JQGE)!~weiX#A05sVUdh)W&=zVU?SP>tS`lB$OD z>b##Xkf?<-y@Hu!E8$F}d_&J(g;C!@YJ=uERRHq07!^R}b&w-9_1%(QMDY zAEz`Sz_E*{H6GoP40n&~2k2x>Oy$tT6Ii5@6{YR;P4t7>U+0#YeoV5-Khxtj5Kr2o zs~HE0teFdE0kE~FFgi`nPwg!4|0^C_&WFiR`}-+^JEIShG;bKq_&UW#R|6sb#>Y7! zmi%YBhBo^=)@ABT4AG3`{5R>|;h|g}G-y28Zd`MblzjjytKr^Y0gQNNWl3Ba+KB(( z5&n%OQBKvLi<$iydI>-#7pSpBX1L~%^xfLwq2ByDEEr&idDaA51!C4o=x(t$Nfecz zrphu102XCJNi2^TX8l+C&=;Gj(W6&7w<<2Lm^J8MCqqgr+%TJ2M-bSlqF@1|q)vS? z$lj_VUsW+MsVXs)Kwb@BaP@@J53GIn`phx3@(t(I^A28G$Hsz(9YR;lcUS0r9o(FE zos9*`Zl7hBoHVBxxKhD0>?A8Vd?m9KhMF=m^p|$~Zjpp>o472&K2r2odc1oVQK3HW2(vKrMxQFf!8wW>xOaS_3TnF)PF*{4sEy`8nk<3=+lJ4a=tPB(7UttJC@{Ygz9fX=L|3+BaNgwN%n+{@SPo;VI_V6{M>>s(?{5TtMWl zP4cule*A7Wzn7Xju`NvL=_}auKrL}i=1}g&q_>b^^iTwE=0~9 z&F(ZaBdnrtQ!IcKQ+=Sz#goq3Y5CgZ<{%aJRW#}u!Py^qw#%N5=i%5oQs-%zn!#Bu z21d$uu&|u#+A^f42^Ymwjzdwssp>=>U2v-k1?H?CvF{Oo(xlMZ1&m}7WG5Q{OtggK5?Fy$I7m@yhykA zKpaY+3gI8?`JUA8X`RFCkBOu=Qp!yIr(wVMgyLozblHY4ja|qz;}ohq=05GtA@! z{%iJZ82_ov)gy;IyjW)-W~QP`Ou%6+r3zDOWcsC_6rc{+tNLQ)pKgk!+d-0GD%Qu{ zqh9sJvH`@aiUBI87hFJz#1)E~BIp)qjkY(xtgXh$8W@hTwa7sk%$ir@vLC{RW(Fax zKI-LbVuPQw)g- zLI-Qf+~VIu{X{vxWrSC_F@Ut6F_pu(Er=4Q#ayzgHUJ%|Up)=pUs&7Oys%~+-9Vil zt3jTRtbOXu>mr5vaK3j4XQF%<`tX_~E^K?OF!_kKyTZwwYxV{Esp;`r&^c*DHV)(W zmsNF`0KX+DPa4f3Ypy?(+=>=GE<|K>a-l{nFVV2}=e#c{7%XZu&Fd$6eixU~0Y1Pz z*;_aZ>ZC5~1o7=p$L(dD>5rUv9ox}1NlmhC{N_%$a8it0{qg&FbZk9VH^&>kngg1{Uwh6Lyp^X^_r0)GVr}`6Rqu=YHxrat7KnA$ldrk zC0Tf^(X_8CajfP1552oOTFBk`6E~_flSGL^pb{I~{L`<=8u|_A_S{(PdJifz^|}=X zec=#m@NWuxT$+FXkLyF<(%Q4*)i)?XE8bhZDfv+P8fiSQ9;;eug)W(a|^-M-CT4#7VeGueEnZ4gF_q?~MZCU`^^*h!v1AfnXa z_}JP3#;e=ZzRk9 z=uPNjbgPKH<;cw-oRK<#3YPzbhaK!2Tig_2K&<$mgB9fKQ;Pp13Y1GeUJNb~Mn5c} zp0N~Sf}eR_Xfm|vrTlO$p}(DI2mn@Hi~p|vkHL2L{uJKdQ(X5!4a>%zKNiiAu}Zv zZKRvtAYiq9l9h7c5D9tFh>obR4*qCPANBHnSU7mwe*%QyROn|oZx5^eOgs!y{nu@D zN>J20F#LM6?5WGKhSW7@FOfXdfl6XyQn12Xx{CVMaQ<jOGml2L3wGD~@)0h!t*v#TbVN&)8gD=@z!=Wi0Eqr847 zzQDJq@Rp#f%YFVX*)|#Zuvi5omEWw!R*^I$tf>$6MG-8RUJa$L$f(sMWU z8qeILOetb`vvxIA5u^W=@p^OyA!X|(%7Z+(wo<^flc^pYmse^2d90G%ka2y*=3@Tp-Xh+dc9oU1;-s0wssuJMwz6c(6q5O>k^KXkn}W zAXKcjTTnsCleGDdN}}q;M(ag=sp^T2e@YwW=~qW@CobQG)R##rYRZgCfx9b5>yRIGCVG!86 zdiTzG&$X36>cD)qD(t~99O+iY(eB7o3z2v{Gj*Q>wOROqVv4>FqKcY-=`7vw^I*fG ztQ-!`fxPhCsuBy+WiOft& zNRNKWagPV80J4cpm6n_UFxblK<)Os&Iy-f1Ajv*X31L{e5gXYRq;gkm_M-|DCpvSj z0U04-BwtW-1o9#zsUy6-XyGZ_0ru^w{1tcZX!l^Lv)xN4kj zmRD5ckWo+pEZ0PvQ5E`G`osS#0Nw=|8|O@j2;(-(G`@g{)M%xZM^1=z2vt=N2q)Qk z98$?)XGaFT5~}9NLb|Y5iPQA6pZUB~9xc6;4rd?wC{BC{7QfLZZroE_xx z6B2iU-`){?byIR@ZQEgH!$ld>(920}+#@p8nxDw5t<4tZ#;wb;O+z zod}}Llm5`8O_%no0!lc981WISV@jJYqH{-&;_*I1-N`th!bMPHIwN}a6AP_}k6Pz~jh z2tNgy59aapeg%_$7VF7<5kM8|4!m_5GJf1;j9Jlr|3CE4X@s#b?$F8b#y$eA@GD9xZ*;KZ+C@AMRd(Do~YG0J>dKB|{O}OV#o0ezl5J-NuC0 z2z4CS@3q<*dW?=<8DOGgrM?eA+)oLgjeh#<15|nEQ8h)i^^TZ}VTWZ#-f<3Fo|0NN zLITRE_`}*jS8X2j#P^tK`(ChO09{2!Vyc9GY6!J2$#wb{LKDGX6BhkBdJluCush3_74u2YZ_>3XLBqrqd8@ z)V!NTU*V2axdQ@Y5&lH$ex2^%HBs^^#}}{Y_e;^PL}dfBs6(Ku$65rb$Gr6lk%ZEP z;zXA!@Zpt)*a%`y7drRxnJ+i-zrBiQ9G-E@o4$zG*|+2F$@E*|H=RkKrk~B)m^5(p zC48}UX1Q!X3X;UXb)l3Q(|wFs^NB}70Mj6sH{H7~dqWO=&bgUI6R{?5aN(rPCOEfL zRu6pXva@J^RFaL4qdXaZ_-y(4#z^;~p$eWi3YQR31}r33{@f0k(pk?1t=ZpMRdJ>E z`$`!OC~Jsf^rh&s&GSQFG|}GjY#8M`a0>Iznj6hd%7)Fy=DT7Z82@Y_`gwl@u)D+L z?mc!JN>-bdx~iX`OXa8;Y76|ZwDNq|i!P8z!~DHi;YT3CYN4m!BdnAjm;qOj6{RRA zmdxxdHL@4q!4Kl5KTk(p*nitSN7LK;U9y>@7>y991=AH|&06f-p;56a&O}X-j??>_ zeiPu$e$b#eWl$@d`ayhTA~f6~EBvr!_8d(Z_k_&zvO=stgwiQ0^^H(p1^j0+9n#oM zyGUK-DVOqz3)y#>F|u)5d@*JB>~^lWmXBKlg%Yp0XF z(&M`cT+?W@c6_U^n=-)-CcABZXQ!Ux@o75E^mCwRhie>=$gLA4@~yabn_kuQ;N0ah zE1#vld_D`M0jxhay++`K-}D-zn~rt%@qDn>`s84f7Tz1BFguu?k06AqH+L`iFw%4r zX`Il*?`_M8FWdZ2l$4s|<=2U5Istl{>_EqHCuh?X@CIgeA>fk{ zSEWC$!z(9+4>Al>&S4ec?S7Rq_d;-U{DJ*c4rZj2Hr}lgfC%#fq19I|^qGS1O?y|~X`FnB1(`B#?JXYN@x}YzZ{)pF zcN9218Z(5c-7ZTSb+gU;OuVUCn{R;w)Z1#*J`&wOM#Fb3EcEE77jWzP52k+19Igs* zQ!)>k*dz6m5pRW=A1@cN1dAzeJ&odi*Khe<&0T?pSdv{G;t8?6CufA=3ezmNj&t|k z$`f^R=y;JsIQXuZA57l*DERCf!(Z!%;3F8q4=9=1zXI&s6&~m9cA0C8bH@1(Y9Qhl z({5X8c{(TnVM^cQh9ScCCI8b$Cpxk>sp12DHEi-r%e$M9X#@l)CuEStIOx6qJ-{Rn zbZ$H|P=AH^k^lF6X;kQv(IZ6#k$2XH;BmO=-$?eanD+nQ+_TM<$5R7(qeK@^(h%nU zzH{NsUAb7*FD>ac7+=8Un%dW zAS2dTpfJjazA2YxsK+7lzPaF9yqmnOsm)mA5J+PAB0zu>>qNjYFiNEJv^Wh*{)KxG z>KoZOyco1#uw%Q~a?d6@?|^>|j!B?kA*4Q*A%kd6=$)UV|`?UMoW9 z@U~YzfMYsve}0v(gD}k8c_BQB^t|8RL;Xawg1PBvq>{4o(;2Azd{!e&-}lJ#`vT$iiU-Q}qaZb3TU-~izRSV}?l_e*I6_km zPyZ}kI%_FT6TTS@u`qISqtyQfPEjvJHxtEO{Y5`BE{o8)P!>?GP`{-#nQH!#v!`-| z;Ds(=z7ME^E0#jSZOfvRUz=Idqo7%!EJ97O7r=m?t(>n$>JQ#Eak?P`W&9>#i7pcw zUAA#}6gc0*b5f#uilq=^dnE!Kv0HEAZT_Opg3=?zn9oPcrd7XKXLqDDsPCFy%vyy) zn^6cAX2fUVsQRN!X(nAESs*1+XFGQ`hXBW0;iXiqzLkFg%)mG8kI4^t==h#w77ASu zI!-6zHe;Em%~*xn)O+dcN%9&Rm8h=;s!^VVqylYi(i`PQ{0Yg@pVZgX#2AK19@P?k zqt&fXiv$DB(h9W#*sO2>HPb81e@tssqYeU(LuM>fKmQfet-))A_c7E791v=;Wq~L2j3h`fG+@objSKy1^ z(Z;5QM2%#)vQa4b_Rr*`z9{#&o+*{xHlg`bCH5ptZF`+u7d8`WjclhoKVNEjaxkI3*DArPQT>-%`Fm(=WyAf1nER(kBvN&rygE*vF$M z=lz)q)Pd~ZG6*={X>0?BYdv4)T1s8&(X6@AbwQUkMhMCiw*W>1rSCT9rKH3@ssNrA zzi$LLONmv_iiyUuosloUL+JyjHVPb3SXfbLFw>X{{>A=9;m-g>&`o%3=;4%+k1gz{ z{Ebl8^@6>_82l1c@MM4eE>OqBzRxhIDC8`u23|3rHj!a9Q3c1S=t;Id)Ae!f%2)k# zqr`H|x9auBW<4 zuh3Q^Zv6Od_HUGmFLyA?6RiLiG7KWVb5ijxrwXoQ3pR|})ii{z8Ta?j8(l6a^%n$6 zHjcyPV}?L$RH;w9suM~ag1|%%z5dYOY^jE~+Df4kdzQ>vj#;J@seh$DW6#MN_`$+@ zQ~{Zy+O}kdynK;yBlg2TT|0j_`!e+m2QYU6d*JvSxIQ8@Ld#@}qzSkXTZO7hq$V|Im!p4g@| z11j&!y}G&U@+og@?Tz~EEb1^zn)3BA>YLST!kd&5n^On$sC~KL{aZt5GnODO)0_;m z=Xf^|Rq&7t`1&USNxZJaA0Zadcs4Hlw}EH+KLloS^yB9xfb4YF%2q&PN-dEeh4Y)?2#lt#`NTH=KW@W$9q=Z zuoQFvu}qgIj)vedMpQ#IdrrXgiiL&I7<6D)-dejP%-AmAu&; zOvlgfPkaF-9Dt+V{_x?Q&G#Irc6y3;N;&yQQnwClhr_`6nTy3Vl1D*6sPR3+X5^z2 zvwhYSA)470KxQ%MRL)MYQ@S;DTmn%8e`dTUR~*3!eQF6LhC8To$f91h*s^OfO&jRM z=O-*ga)-vki>9FnpU20r`qhPrn|15R6ws2c{EKpnc35lDV*MttB!!v4S9 zl>~4Uz+KV)>fd(}$Gnc{cPOB9a8bbtzBA-k5aGZBHK{I=KGPZ|d18dIhwk;jpig6S^JwK=N3b4$Kx z&j24VXN1N(vRv0j7YlWY*EbcJWz_jg5Dm`0gn2-`fB#0`1q$3)-(4Ni*vmfi`?a>I zeT|CS*wvpkY`@W;%&gqpOe%&J$pF`U23+YrBNc~mzY7(aqYBVPetJx=JbdrTcq5P6 z@jZs>xu#K`0?`K?IYH*ERcbBwiglpI4`;pQ)PYtkAUeSD?1-zc6ee&YZDBY8XZo_2 zD1FejrO7bpEij_0hMRnro@m?mJM205sC7kyMo9%Y+rUHLeWN{rHiRNpWtvn2A&Wj>vXlbfKEwbK8+kzSb3jvXkg9!aI#`v( zQeO#Kw5i774YrL^dr#Y7b_tN}6;X}1Oa5)&fEO6xQO5WRC#ndYX0K-+Qrz^kj9O3HCGYrr%0B<#cbs6dI(Cp!zxZn>j z-#ngR6gi?=1rCyFUJ_c0nJ{xmYULKy9^1St1d0GfYVjY4dxC@NHd z&7W0(cH#S zd;boe{pEs9y=+i*YMqenUl2)h#YG2%5)gaXI6PD~l+$xDxjDy)BpBa!mO=UlP0SqV zB*cTvH?y$i7w`B!9`7-kdg?oX<=a|SfvKIGO0q`ga(!pcG+1<)isx%#19*9HadJao z<+m5r9m`ihp9V;%$^d2yGr7-YtF^Gg2=rb8f45is8Qjx3UB_b5v#A3|MxE& zb8aJ~GP#mjMtTz?@X(|I_GCE=A6g^=h%-#UvrAU!TxTlXGO)Pb_cs>$-X_j=LH1eljWm$=QcE#G=nYIwA%r}%{ zAI@j-s?b#bj3V|0;)i~1+wKT9V+1&X6oO8pr%rsVe&$xcyi={J)HF?jj#OdJ8pvWZIZ~hBUl?jy$tC+wPjEyz>tduyojh3{>(g z;bK7=I=gXmKT0t?L9#~hDms=XYCS&^_X_`^9J?|RW}P5ys`Z8M*n8+tVMcP8o^aNY1c8TuG?hjl-8sV?skcQ()M}wNx~?AI~G;olw*g6<-~XNb?kBdBGQ-5L=`jGZ6}k z_k3A|-(m=x*nHl6_ZEdn%}&nj%Gg-doRKr4SCur?(qc5NH=qP$l`W|- zLlsP@T^O_K2WpBp~H9p}zyc;X2-y`0wtTTOUygvWifxO8+rvwP$W2=|RoAPU~ffvS` z9dnA_RqKk6TToaxZkreOd+~{paUEQ2p^8ofrw(qjKa^%xz`pl4X2+(g@TDtztDo0A ztQV)gpY(hp3*sQs@L_uUqE}*;;r2w5-}9yMwLzVdHNE9&7XziuUmsp2?k`@CI@z?G zJ01Vpy{ub=HIGZ%-ZTuxa;5hOZ_@#Qdhl$&5Nx{e1SS?SsC9g2+sj>xGOWaOmZ5_u zW}~~@!<-vSD(qOVW@_c-$n<4q+I)*Mcpw{xSdm_nF^AZyt8pZ8Us>jle7;x!K~bK8 z?;PE2N@5nXurJF_EQNWq{Zf7z-aotcE|RQ5vA-GL>L%phS!nS`0Y|m26SOE3**shh z%C&_0{MP8#mbZ(VdFzAGU2azZqa#@%n;q*z&knRpvw_V(m)E68ov9P1fS)nWZwOVi z^6f$P`&MnB<6o$Ohg=|s%!N^BqGnv68pk?VN6M1XI|3IMYELU!P!HbQ*Qi#s{?6IF zqMCtgz$TqwjJ2&mZl)V)jvi5OlzQ`(_<@SPjY+RT45mBjVL<1GNKnfr;}+Qjyh@S_0kWh6S)j(k+o^!F6aiyViKK#V%Tt z!GP%%eCOiqcQUJaZk72K`HQe=w2JC>2Vnq#Jx^rw&&<*Tb#e+WB3B2trOYM7DZ;xD*?)?iWS z*%WT~fm0<)ytZfh0X0nI-G2A~)7n!8MA5ZtAtfL!wR9s$iNFF%cZzgKH_|L1DiQ+1 z(kZz#0@4x-QZC&sAthZ)_Z>jr_pAHeKlk6vnK{onPuH1UOXXdMH8rE4xozosUE@nS z0RDpTSUQi+8Y*0MU;WcEs=`(h-5a_3u{8Nsw$h%uFZIF^EDjyoB~poz6nE#etf21=?M6Dx z>!dF{Z|)V_el<1SB*ykJEc3e1z9>B?@^q?E2EYWncuqK9c%){~YY6pN0RSnRa z8{Q(TCphKu@hy92a6)?rji2XYU+Hdr2o-g}FDZdT&o_uhptJnufSU|17EXt{NO`eF z;p_9${(}M%`X=D?gm;vNx?ryIVwV!-;y_RY<9uDPzKinB>9$*0{e`smn^`xikBvR& zx?6(vdx>#WzG1Lmd>7qs$WLb|Jr2o4PHS9ABJPWRKq4-Nr^~E=ik!~WS6TueL~>r} z+Us2G8*HIp-Ru~gg#6?H4(=0r&sCl~Zz+z~2LRtr9=;cO`u4u4bPVNr*c2_b z?|d)%=62hff^UL3xv-eDJI@KbsBhSm+PqMAt8TrJ}4dA*{V7olnLs|lrGUMc`NVXWRX_R zqk7V?w$pN#Bk_Jeb`V@jq@1vxbLR+cAoml8!zNGV1B|%bLP+ULK>!O(1lkkMMAqVu*7uJglPB@8D|+ICM~hXT4#K zZ;tl|4>FLDu{4={6PH^#^&Ql!+^MfzYGy4w51U}A&CR@YtdVzAEyw^>*BoU;U0p=I z^DC%F`8Ks;BD1KrCe@LtDqZxqCoxj21@kw!+`Q1JZB2r$p*zk>pt$_ETPNem0#)6I1RC?Mr>0ynh_81= zKRs6SE&Gy-pCA+d{8s2r5I9SpfjVQzW=1F|Z(=;Uh0k6uFd2-qM+qI~(5yZ0N=F-MAh;M)r3H!d8LQfP5?%sv z47F~j?0|hbT$QD4a{$nKc+1&=e98(vxiiBto*)pTqv{;L!2AWsG;3J+K^H!1%>91F zpjyPCnIHGC4q0&3=Fi4RKGW=az44~f(qu1hGIq0ur%H~DJ#-6;za)h`KvQ|D;3HkH zibd|k06RZunBFfU$E-W9E*x;(Y&ZQoy2puC^SK{R=y*KY%=da2xI?1!ml7=`?%Hb) z3&iWMmdT|hho`sHy=K55BX06oHIz^?N$aApPD-hIz`*SDG|!&&NgoY3LGxzhYLb!} z7vDgPZ&*xYwiy~VU92LQR}781OKdazzNll`fixO*Rj((Y<+@8kc%stmyC8IGI${r~ z@BIj%q9Kab=69v!qW{Xb@b*1Wmk1B~PGG0ymu6nS#46$s z1cAr=VNxNH(TI4x&8X%>Y{UH~80YoY!=I@O6E{k{05Q&h;rOM_q~zG0>QQLIw#>SQ z`1AAHQVDFd(3r+D!VQaKiaG*-gkeoSf+Nb`0`Hy;Y7*yYcE@CP)G68*(-+F6O?LVt zseQVL#qo#KTk&aoa&775HA!zjFr!XFWJO?WWRG51mEsDCwlczY* zch2E~cB8`+nfC&NT$&=ZIq7>`{dvps9LG;rtgJbC!X+d4tFvC6dE<{47^K=|%-!of z=*}LNNmGVi*vL#H+FO5-&wXipunH|E}wEP)|xQwOs^H0CGYI0 zRnG&Iom4ZDsGam`MK}9W%8Dm?S9L32u|WN%U_*8@+;w4RR<~`f7p-8dM=47j*>b zq3Y(LJi~S4<$_x8P<{<3v^}$ysprvW(TE8S9(P1IeQEG4Q@3aXSD93vb{c)RDnVLg ziW15bxZIU*bxuS|SBsYrnQ-N4ICVNZB`;Er>l^TK8Fzd@}}sP z+6r-wj;QL17i6$Po{TBqxLT;pQX{$QZUsNao?LOkJU4+iaA444pSULH))jIZoJm8USgI5@~29)SMzmf)Ut9>^~&eXK*@H#7DWgvk>xg7d;lq4PGh*jdfw$N21 zEF08{FTFLU^+7zwy%@|uHq|qP5D?UX-zn1pBtkm7jeNYAc5L-tXR6aepDTG}FE8Yy z+ale@11sg<{IoEtC$Qk6X-`uKF&pS0v}BzzfuvdjpJgXD4_baa5ITk@%1j)RoVB;Y zSmLLtw&Qg?q4~{{Dx~Dn_&sj7Eh@d=RfmVpnKv4brI>B-FNH39Mxq;jkG{Wl9AofB zeu0yy!QG9h=%p{=QAmnJgYex;ivHMdMtpKXire}n?OE4&Lp@tzdG6i8kA|Ps>|qXu zgxy)|)>#|EzLK~fYNNViYNIs!VN$}pYP30I)STNJ@{qMVURGI>%6y`(cduk)Uu?7Z zzuc;gZmMWjWmxh}&kC-qzjs|F1^@}(zGCmKWKyjfzr_?|K9*e5fl%Jv5b4pU} zXU-!-lDIo6#%b)NvQ9yq`-MKCLb2}P0lr*8$7Fw=QCg3eAXW^O+eQBhNI?$SV$XKjoI2@3h5r& zUoud91R0?rp8U?6osvu_f2b67~(OKmu?0((cgrzVHHx{5K> z&{@*i`D6VwaGw7$inJEKVxa;Lhj@sA-icpp!L!M6rC2zExVpu6-kGK;AAihW#}PKH zf_kmQ7#=b!5q$x{IcJ?S#`dz!pt(Hgn;yF4e{22#_@8{ccC+AfvIuky(5F6-^rM=>I^`@%*pg4;k zVRdZ-`WsY;2X1YN9o%wZm3hHIIvX}MHwR2RTTrQa1om3Vz9FEa$kpuW^#Jb0Qfkrw zA6RNG>%}P?AA5!!AnRz-wQKiOK*&M*1^l)?uc#|(mh-DZW6E2e9r~$u^ld8=V&wgv z%}qC7n3m}o7*bnZ^>v->hcS&r(GDp+p6Jx1_92~|Fd$|dpomhP3GO3l?K2g-SI2hrD z$Ttd52f&^7Kq&F0bWjMK%to&bm_AHxVs0pVX7mPrm~D}qVEOLA0*aP8DH|S+Sl*3Z zN^G4rgVYZG;4dl=T+IUqiN$k3FQ@(Ust!M`p)%@ycA3%(+quGQ^fddj^y|B)EJWXQ zAzu7&a4|pE#msY)o_Wq9xA*PFW<#0VdAKEle{b7CdUIRJG%v`NRbrxeaqxur)3_cX zywKevdLp5(BLp;z&M#F*aI@Ck#mXsJR`XO$*G7MH(vX6(Up@A&3+*KeHMELv_hwZJ z=O-+(Z@+H&P2#QGc+%h8+-R)||HO7wo)+0^F9aeTEI9kehf9R}n46Plj|5u$vgFpA z%@)O#7Q~l-@dy;h%4Wc)=#uUb#y=Su9a(XaUE3L1x>1D+2vXXC=B1Om)L4pW1gq!l zLb63t$K1j)oK32kMnj zIQ5Esz;GnuVy|AhKI=LJ{LR*@akeWN{cYC5VSdQCzi|yEYBy&8iy{2lOwg*o@S3+s z1OM{*V-NqoCt3y{jFO+|uu<#u(;J^0Q=YJh(q%oIGIml1$rwAgj@F&e%@iq9YNQ@W zOWQglM#U)t1`+B&+NesF#Q9haXrHnGsY`B1;RCY4@Z+zyfV9O5M*BS`hj!0_1W1Pl zC9^+gp1sghs4C!J|jP+Eu+BtahNII%Pd@Qj2T}E7!}VLPn$$K;2LU}lp2j1 zFHS;?ZIqN0?4tr6eNYCr{OyMXY$jTgAVgN3fT9Myg*TBL%=#6%x#VW?>J<`*TM(Z3 zA8gRsDfC>hwzEzPc&KM#z|29FvDh7hR!58ts;t!TLKLzle6?iMo}REhkq(Pp<8CTn#I}UNf6sjXv3ZsoPXwgs96&u*V>ryQ{%w`i1y%sc@)`0!V>po!Yj2 zPUYDZF8CDqSWPv=>Rl0$zf8I#z*$8B&197HGzjC-!r1O^S8#Bvk`?}tD52Mn1dRS&+K}GQ5Q0lC$7?3r98xD>I?Zlq1 z^DNn+WBk_BshoN)X9KAsuL}|^bNivkk%0NRRK4o?#tf9*$?2ofqI{q=jxU6}m&0HF6a|XUkTOgV%c8YW$@)ysnohyXjc; zM<&14rb<0hB;{epTr*f9TI*9pHsv0p6vnkE-4jU+EqZ|mY!avqx?q1h4I#{3OwuzK-!|1~G1!p+gEb=EE#jt4Wg-iCYz}Xnlu&CdTAkR4+J?p45pddlX-N zs=){8?tE>8Fj5Vqk=No!_!L5SbiL+(wy%lCJ0Ows)u#Gm&PEfy^RbJa{EH!xWAiww z7U#}UCa5)e{K4T!Kzn^7!5E5t(~Plv1WmP>O%#GRwA1r6->i7L;rf9=3mtR1^>;~# z?%Zgh(D|+bR{c&5TIA$m@P*@H{snS`^I6c*^1-=m?8gw~*Snj#k;pGtm2;>mRK4h5 z)kl6NS*wC7W?Yp)g4l4Wf4|(0h!xd7*K+rOW`J#))|U#wvl8Ccv(X%`=Rr%)&P$T! z9f-bfCI~a_f@C10{y+L$AOsGP#q|yn(*b#u80by$_0=5SV<~J<`tGR-)UE+H32Shy z)N6j{bT`;5vlQa+RPiDE&{0-BHoy7_Q-aVrj!)!30q=UXI`E2Ik{aY=OLxrpk3GUS zFY{(L-wwyFGH&_}6YS5P4XP1PJb$SUNgBmaV-}ZI6Z6Hv_>IM`I_TlrvzOuRFqzB_ zP}x8_)*uG~l~3=}klStY!wYGhiJ3S+mGuha-JkQ?gI0PV30}nU@_ojW>H(D}k4)u@ zn5)4?jqkvDO7Y={coZSApYas;|7@)qJUf@L0_vVP2=-GvZP*=joAsX39g@$$8fP@( zi#W=1z!Xdjja-tDY;J9ZRed~8gM@1a-)#WX{h^c|q!#nZTmH}PK@b8)JF-8+1`zp; z+RqV3PtB<(!-3fCni54|5Kx@FA~B$+PyXv=pB_Y4v%YEXgabtncQ3xd=j0&@^V4w= zuJ=NEOG?A!5B>oC0iXG*U+iT{I+DV%n~hO0z-JWymH~${RCJxe&N_Y+#yL#u^*LApY78mU#lB=hvRHYV zh$JWTns)5#T3ht?IimSC<8$tj;VMqcfllrbptj)<S?9dq=pKV5tH8U>| z@AhO#C;~~IgH^gI{3YMG;x-l$>G0&(L0)+GHtWm%TkIiXkO?0!q!XwilgZ;qkTOax zT78PvP&Kr@f&6+bi}AWN-gZD-*f>z=!6lvQ4lvPpWcLN4H|rjo8+K=)Q@M`4+tlwB zpPXTW>V(lkU58iKg?rjzXmORr;c!1%TYJk(J1z-pUo z&uU*|FLp|=iJE@!Wd|+}a(R%_(Pd(g0k&(10Dn*Z^zxa#BDxhBG!QhU+gVml5q%uvpjLxZ?1|3@IjkhBtOy6kq?OGEAgpV5dH|8{K8%33>(^?tLF?S| z`7Y7q@|2u`4Q-u1E>Za8`gAiqYVj$OsX9CFNrax$XU{mdv({GHeZkhbR>iF~ANmCc zOxZP(uWm_A{d+UFs%fE@_+!&U^tY(L%t8wjbsCv|ld2sl1d%Y9b zzz*A&YQeG{0I$|EZ3D?kw6IL&XO$-dnWP%&-}R`3{QR|hDanDR!-8c2*v?g*H2VaZ zbCwCzg~>)^7<#bMDWhj6smuAS`lZy>mKb%olWCF@R4x^KAX%8G{qxqh%eiS_J1K*O zzD5}x^BRG?lB%_5f)5jfp3^?Pljfh_qf16Qp0#PhefcJT7?^!B z{dXyYaNd2yPn!`%#RY~m0q$2eYh}IF!962I`RYnIiiMf$!wV{jl=d^YTc0C1F;KHU zF7rw#6whLdf`+m?TVeJHo~FlFtWZW;oY)97w{T1*-Ym}dbGjo+W;5j>5_gF3hA4|5r%-nNY4h8pLl06Wd*FOeHFe+-^ zOLlZ+q;e&~=jfaIf|48cwku_*2DYyOg5J5b5U3Fd*_pOImI?0ROZfz(5xd(2TfeXWVR&m*MA>`qP2Y?XXVKld3(WRj|7k=mHV`p9LCg!CpO5&XQyPPq+l+aB zzB-&MGJb*Ob%tm)d2$+EWTcM@IL=QnB=C)6hQNmWXQ)e_u_R^9=g=rbp_A?i$oO*j z-KsHsC2q+$dng<^>Jqv(4qK%ia^QGQx%xtC$++@*biKQL1sGKV@uh}lK`At(+Kor} zs|82uFL?9jrcPmZ2UT{rp)R@<%uv1Thf{N9&{Vqul$JH1Rlu6_6x4H!cHPNotT+LB zI@-#eKqaOez*hKAVyI*NjPvpl1{3t?uk`Q_gjDdazlvt%Leh2419u?Ey>9h4iCNrQNpxqFP%u#Y}!9#zx{8tKHIqYM!k2zRCxJC^PlBK(o zX^@)!I}iV}p~EAcnl1D}mBVeR3lf4%ja0}u4jciLP_!|F#(=gA_U+AW1m!X;@qU!| z%--6Ks|v>NH3dGl!sKALfCc{*_m_1EuV+v%*ZY(A|6b4FZR#$tq3f)@pgc7WKx7pY zfDI7wx|H~vDv9XPxD3N_zTCbq;{5P^H!@yP(7*flxj()owM#%gll%)vRx^Tb-2N?5 ze@quT)HI7}Ch}IE4BIcSc*|OLUVREjsN|rd&V2J<@%K-=cei?Cm>;~Yz__w8FP3BeTIk&zV32VdaZ4|P42Y~ z8(wJ2Oj+6-zn?oR(_5Z~qW`;r&jv?Y7>w zGSVGiVg!wd_5@#am91lLmB}Nsfw(X5bCo6J`I(w-?;YE*&){*S3X9$C_%iNaMvy_) zDC)<{tuL1GSf43Xv)+$dkr~!CboZx;8Bi2_|z1^D;E)`${Xf3l9C`S7ZZlZnQlsMw}It5KJPHeW*N~px+uZh zV}<4XOPZD)DT3P-HYNHnN%SN#Bsephg8{fGD?lL7Y=m;0On^Blgrd9V*5q99)7nfp-Uo zR$3sAm<8N~#pIbhu$74umn~NDe8L>l;&9*rlfw}1!`s&gM7F~|Db$<4vxofjCV<-x zl>eoi3&tQrCe=zBXkD`zp*z%L&X7}Ovk%;5BU0y>8u#NBM;(iz2RBE0!ql?E&~IfWTLS#6%x46IF*Q&8~69 zeWIjUmhsA}Oww4cO;B8xi;x$f1hW>2*h;McY%K5h5ub z!?zVvf4g5Wc~#sV2lm3?M2|* zpcWk-NK|U%l$i4Cow%&m>FzQdcwhjEUaUkQs5!WOj$t{Lmr@#NJdZ?37P=*i;z=XRG2|QKW&`lJy0LsSmZ?G+&Q!x>4)d^Fpg`V)b+?N8nJFJkLyVo~;N?22tUmV4tOiyM}!kW)T1%;jNjls^w^=4={ zr8bjZ2b$+7fG9s`l5S=exp;{Us`)C58fenVq<-L%43~m5Mby8T3jzPlg@I%eW3201P>ru`QTg@LJJ#0(_Yp*X&;>`ivhK#S zF?9a&ijgo(c+i!|yJRL9g%s<)(f|OB_#?ua4_nbwPgzWS7nbh_e1UHUbFv z@1c)r31#hn3Uau{aP$Gdmp10AW$`EY`8|%ZGIrf|{SyJchu5!dEnlEWEE8HJ{SFO& zZ?MBe#Qo;w(&pEli$4+PpQVemQLj23|2qCJLDGMWy8!L`hgjtQgCZ}OBb7A`)9(PS z0_8{j>b^75K2BtX+&E&sT>pX4>e7<)vL$p-CyLxwqSiS&a=+WYYX2c9%QGeq#l`UP zs|w4qpK0`hH#E}s#>53M3#T(A$h^`6p_a%4htXgydeWb9PgMhd=EnSHN?D61T}Ean zcQ@dRCkNEv1K|%J8s`qIxk7~$m>GiZVpVc|`e z9g~{4++cI>B!7ld7s%k-)aux9sSs?pN7J5zI_4aDrli->yn;z|Y#5>m?ZP8MR{Lk#E`|*IMCE;tqG$l%1os7q8yN0;F zSzbGjc0bJMPIuFmZbg8SVt0XWyu%`NX@A&YWvq6tFk9XPmL8LSQBs0jI;%uw_Z(K6 zsPU-T((2^h?8_MT^v>?Eae4e9C5igsJD`Y8HntK?fayfgq)?QhY!faR#9EZG6~D8m zu^)CDs1AgMn1E0xsGt?!m?97+4@n?AO5C*2h)&523V>Sk*lW=O>E_332agt`3D})s z&hNTXGP(^5!Q)l?qc4;;i$j37{yOzID|DXe=IwiV`1vQ@^8yvoIa}t* U@Yn+QyU-gl5{lx5qJ}>I0|5@sS^xk5 diff --git a/docs/pictures/FieldWeakening.png b/docs/pictures/FieldWeakening.png new file mode 100644 index 0000000000000000000000000000000000000000..562c90f1e0da994f7ba106f45fb51d2c979495c3 GIT binary patch literal 29476 zcmce-XH-*L7cLAcpi~P@5U?Dj_ok45fPm7GUPBALh!A>H4qXUJ7YM!g7L+bhB$Uv5 zQCfh27y<+co^w9WGk27hhB6H$3nd8&3C*jQ zFJ6<7TtktNkUCu_2YwrT&{F^!NIhOF%ac?N-P;8Ixn?J)E=NLA1E)H*Bm@4x@#dwG z2MGz&t;-)$)Dyfc3CY{9uU^RM`k3!5K+6BZq%9GU>eoc%nO{7=Dw0dma+BJ8<2_T; zFzI#b8qrS;Hv~Q9BJ4I^b=la_-tfCQ_$>F!o?+U9zdHW1`b#YvhiaZT{G}3k`#RP= zyF;Y>Oyn-@N_g7P(sPHTjoURXP@)`3CL!B0e{kmYwWpZEclq?Naz? zw(got0LN~-Uvm@s+D6v_b_x!rEbne`np(`uw%l=~nmxv2;NITeO9w}01!I)f$5oCc zAS&rwji>4C!DCYey*9*G4kn9#*5WFN9m(EVK_{EaR;^s@at=!Z!EvmNqaN1GEL6rV zeaM!KZv1J<`|K}AOl}0gsQLm<2!iI6Z@$j~V;54hp_hjLv0*A;T{jT;fx$`jDAV#Osm}@;TywE}8M-%${^>rik zBds5ETGiF@G=vvYfJs%%79O5nsezRG{$ z;*WP5(Vx9S+#qHWmLrYWZkX+yY<*?sh&`~m^UJ%TUh?DyzvRy1>Zmfi%Xo8T-Htrc z0UH1go*YolfdJ(ROg z$j|I5IVvmshal*$p>sM_I|XNFR$F=ekc+b{PcK@7z;H-85y^ zgVJnE=>ueT=7Ox>TwdOv8Nv1gZa8wi4$^d%s_gGp^1kpm-S_)UXx=X033J%~3nwjt zDgGMxa61K#C^nJQe5u(+4nsG*e2QPT{5)1}()jWvh3Hi?&i~q+6WO4E%a}{gGj$yw z&uD=#J9T&G*QTgmT=yva+1a@gd%f%RWpK1L5{i=oZ!|yLY*gG@MC;X?57*a+s*{yB z4a|523fSY6x@wOm@&Ym!;}f6VJYUQ|?(=Dv&p&8d7Dq-^-pS0)&bdP`=K218xJLea za?eiV`UnsuiG6+Cv%Az<<~OZsHQLATIb^M>_6MXpn(~?ZD_A4p%d#uJL8o0O>Bd{W z{8dL3){L47P3)r|TK0s6j#*P$a|$HK7PpuCZ}>hKeoZFl9<`%ui11xc;{;1bxik!L zWa)nfLFmzqRp;r7fNvt{nAWX2@g&wo@=15fJVN0q<@Q+Im}!Ru`tM0$T= zH^92c34n{N&M;|oZQa%0pZWFc%|-KEJiIl|9IltKZHYyE^&)i&m1GEpNSb|?xpWHidX+XFCh9O$-wOTS7pGgrN;y49C z8zTj}ypaVIVD>TWh=2C5?oWwLpSPPC$|DVWyi~?sZnXp#cwA>|Ujf9|Gck_SAMWqT zyw9NtlA^Ts2kV9U2hvwt-krzR39Q+%vyu6OnZQyihbJ0m-eV>%2##47)l;EAQklNL zs_SAXGo_uru^-q}q*R*vqVoF3VqWXaDE%>$7>zlZ|7Icz8PAjlP}B4 z^fLPanzd)U(56dE;(Rnxfxmj{<+8lsCxWX@X zz9C#)D?qi+6aF@%Y@?h3I~z}F#AG)0WX8#P?IQom*_*?k8yI%7No9{GG1tKB+vg)# z81{2#8a9G1pVKK#?-OAQv0HD^dlAPDX>>*WF(JC`6kfGYJ2W8##9Z6K%%AT-CMwdU zx0l#4sTEENCy>OLr;Wk;f$%FN61@V!6nHaI>B;3r{@3B!Ai9ao=zzJl(l&B5@A)0` z*&m7sc|lC?atQuvqYsabd&hB8Ta7iZjAd~fV@2H?y)eFh)~?f!agx&OqpxdQUWX3% z%L7&rvS!vEbf&`#E-XB&x9PK|IsCJZ}1$f_z4k&#?9$d+{oiir-+=0ff+mvQ&Y zz}f9`#M;}p+epgMrm<DQ5_By$9Be1*=^k)7g4sW+ycl2R$p^i$-!(&n76fb4AaHC&PHxFx7DlmQx<}v zWi(e~uT?Lkie43>6tg8!de=kU!8M#p%1p*0N|HC++@sbgwQ)$>jn-Tm{dgX1eBM{E ze7wWpSKd7qXo-qBHJm*^XrDIMyGK}SOx!rN!ThP+16_npKN38AFzGf_+7sYMeYr*FJV`a;} zK`pmbOiT$M85qVwgB(rz>)WSgf5&({394KU&{&vaa;Jl}Tj^wAeoTqTx2Y#<;EF9i z!q>W<)oY!0#8ALkxLuDo&tIC9;-g!Z!K^F-qNE?L#NG|j&K3r4*2!VOb)o5?Eezs+ zn{D($YjvKGvPFKO1_Lvvk+RkGlpjHv>LYrnH<)8*{05u{hKLspk#NU|laD)E$I5G` zf*;w93j~H!D|&(L(Pb6m$A96;kk4bjDPh-Y6mWcF>OR0Qe?FE{V zmO-@qao6;E5)9dw@jXmvmOh`G?>N{w)Beu* zjrB_jEuv?;O=3xwrH+O0q#fVaCTTS{;D@U+5IE9j50WCDT?|`m`s3+|uVkTs!wsfBC`a`8fj>&^)2)#|Enkr2bV;*bu{= zw|cIjbPx^k4Pv%-=1&9u3>{sAV55`)lNzwGFv* zjWA5+^1-v@WfhhA2r>(JA7i=Eq_%s~D0Y%>5Nj0let-SN?6NmrlDEp9QE=hD(Twt@ zyZeO-BEm1pW8SB&en{T^!aT#e=CnCkW9icm!Y{b?&-KaVuHy-jGD)4r+eUts8YpC0 z>v!4JgK|MXu!zaQ-+D_S!-;U>_Pquvk(G=pgE=U8%iL2*512{ylmGgG{GNgHfTb}K zp_h|!fvFEAq==uD?5|yUCzNjyJ1t#utL{34?23@#WcI;2=LsnZiI9)W()10BpSBB+ zP0g5SBKZZ0Z#j`AuIcFwu6K{m_b^Lldz{_{ejkzxYp#Vqtm-(~lFy&udSR-n8XRxn zs)>})FAz&a~8V9odTbEaIY zXuXc{_*J=M|MUYu6?5Py1I6sJ0+M{Gi8j~-1 zFeY(pYIJl&tIOWWQFg-RAw<>0!0`uP{)qAFEA|wS8JL~_Ai&79ia++AHEWa-=U%{^ z*jAm71AX$YrYg87OmffVAuWmTcSA91MviV-jY~N7zZ}DqfoB`_f`+>S$4<8cFz(Mz zeitiKoiwE?J57L}7!b_=!0LX_5XYN?JEfm$r{-C{HvTr+9V}DH=(F@BC~CQq1+2%@ z4b}vL@WIK$lXP}W|D3p|#`Y-#q!JEcj{1oq(6lNiYhVnmT6@RZmk2GMzZ*2yu&vs& z!JXV^ucO?U;IV7ze(c>f_oRby-^p=78yQ=61^bIhLya3})i-woOI01n81oXga`x{$ z^Lo2d8>{z(%&A{$nb_ zIX#WWDE|>+7jpbXP?pm@?xCh?E7Js?=U9UK`|8|y3sXUs!|Q4n6v=0nTI<9+Lkb!T47<`*p$z5brO_g0@-=P`4_O5fr**0kuf#g^g8*)oPtp9}G4 zWAZ5w-7Hde;jJ7c4;1|O&lN)_@9NcFN9dLMSUdhG{}eE%_0#~ zr2P#kZbNvIVqX6zhg15aq|F3@JSIk?7%SyfS|etoFV_am`7-`04SbU(P15!RhEAt6 zZd9j9Yn9wJISS|WSKd{>hL%^-Aw7QiIoz3Myq`l;KnC0QZ8|wzjp2D7RMW}=K#4&% zuLg`i5C+6I1|Y}S81;Y@rr+<7CZi=|f3$1~Bs zE8Jz0IMsV=rV%W~Ri{zgS}0~P>8;O9?)?k|KN{{%V9x&58m!>-WBZz{-|Q6a6;k@`k>!h|^?{4q85(ro?W>UHoJdC%4q`tlBb_UVko^Z&~Klqj2aFBDiB zylHfH`~LYtI_QF1bEq37kdho@Son$B{sn+$DPokIrL(1ExN;3k>(G?~?~bBgRi1^7U;2 z;i6TwY7Gd{+UgD);%Bij7e{x>yyOMVzK&*T79Kn)5h;1?JJ~Z4$Y!NoLel0ljjih1 zVAe|wXEs0Hk(HXnp()QroLcMKgUg38J$&ZM3s?@nqcPcwc~q=nO9Z09$S?2Q9XdJCAj-te9IH34s)#f<5amS=!ZwOXttJvV$PIx8lzxWkJ(Ao zH4ER?^hd0ZG(<=|JA#Q@_^0psPx66 z)7Gd{#eK)>?DwgH5kD5Mox$Jx)Qz&<<}^BQqPe}+X1ut6VwJgAmKZe{-C0<$n7F~ezrIPMbB`oMUspOa zG3s07$IL}(s?c;1=4;Y@s%N|Md_Brds^ap8UveMBsJuIf!3zMW&{rW@L<>!I^crs@ zW^=}r^kd16r8aIA1BM7hhnHU!vsuuxG)F#MWrzwdm!9#3Y`%>T-=>!uk$PtSDp~54 zn9ZeE3*pDl2rdK-c{`Z50rEn>ap5>F_>Rg_)B%@}=}7#b&bwvRdHJhf*l{w`$NXnJ??y*9 zULb6Ik1wQt;Jp$njSP%)!R{J*%6yd<{_%^dCR^SI2fPn(*4K4*!>R<&(_TUsXu7o( zIMr39UzKzespZux->Ha^e7@{%<*rv-AJ|4WA_cdib!W%p*D*3Y3Y$|e_!f`)?;|U@ zj?Ta2%GG(c>=qEH%4+Z>e!4B3QoA$$DJ-@1ow_R@k!v(mC*At|NwOOkDZ^3a&r?`L z*05dvBAFYYOxdC3Sem9KE@~hGDv|JUIV_Bva_y1`_KcQ(yc;kl>wrLBt+fFUJKdWOnetK zBT99m*f%OGVSgKrvw5}MpAc2BRPI_;4@Ng5GC=qNQBD2Ev=sKnZEFvF+qt41#3^#+ z@SwZOm!}e>>D7r4O`>R}Lx^`z7G;?o{zXD!Up<_ymg!_hfj#a8__Tm|Xc%z+I>%P-XI05h5f33% z6Qi5+hF`X4W(`iz7JeV>)N5c>Co6^IW&EjS!uHYiwmZuGKIN-($4z1{b>`()vR}EIFkZ|e zQF~)f`>XU7{h>Pqnl%iO2_ktA?7r-NtI7^T@sS#bV6K!6WJjB-*>`X#E68MU0{}B z0qLx>O73U`_aW;yyz7eqBfLz|N9*px+P9z1Ndp)Q&vAhXEdet@iw#2ftS#c(N~xQ3 zio@=HLAM~uhrdSBoST!dyw#Mv*v;Ta%1#w1 z6zbK;eJ6)sm6)ad7D@a8j{#uso{rBSLITXTgg&!l`yJV)N6sG#c6r^}!+gMK&tnx! z%(s~9j>?c~Fg0TJesfI)vQBGTbv__y(X+Nrek3sN2)lilkT4}t&m&x?oDkQI9vP9$s!Y9b_2wbMMpfNXHtLr<8 z`ryXIW>V^zY*2b{W<{?=g<*2kHzGG${NUd6p?<@X4EyXnkXyAXbP_3On~3i{Zj!D8 zW(*Qcav=k2_&!+DcvxA$;Zb6KZOtfBgS(rb9`;Ns$1tm%y#_Q<=FQN!##+NaES~he zR>opVHsjpR(t1y>S6s33=vmwI!dWi>Vh)dW12Ll<_ z0Td68x{?F_GvS1}8d_ z6jh(dvvuA-@YAwQDz3EB{#q?wUhWZ0T76~fX1I+IA#tGzh6Tf~n1s@j8PdS6D|BX4 zZwO1#TZjXfyAdjq-MgU`GNBbA^cNK!YPo&D&a!v!_mSh7-7)KIPr}ExpM3?wT;C;f z6-f0J*gE6+q`BsBHddR>?0O)YNl@A-yBTnieYpk@_I=*lTe*9|6A8R2!BX@e-c5X5 z?ldbmUk&V;XhHZi6)TkG(ptVWzMB}uqgUY3lwM>24Xw@cqX&BdssAf@+$WST zFUcdg7qiKVy>)z8EyN!#WCxH2!U3wdm+-_ti%_op=j79SyS0OSUC5rx>~prxmhy8m z{WIcN`;mMZ^v$gb8WgB~U z>uWma!wUD(QoQGsI5wAbU-HJT70i(IH^=Wj>Q{x`5B@Nm^I-|Mx@@f-teXPz*DW_q zu|>Urny)P+m&lGt_#P8r-uU=6W!cxplR31%#3Y#gAqVK>Dxb+7Pp`aSoyVghJh|Q0 zZzRNFgW2W5?iWoVAcV@i<1Vs1Ikd5fyWn-qn&vOa5qaw3OJe(7%+4qtvBx+0EO~w z@4J)}dlOWP4z=}dQ@N#SLTd-B-?x;#2kj~+Qln-SBSf6_-Qrr#hl5){(9kd_=H&VfCe=hcx@vb_HZ}D^NIh`Vfoi-VwYx0{STH1J8(4V*QHzA;NM2m zH@AilN1Uf$UBEU2(Z<(9Yo8g~wGaO!iK*j_f-mfzzQ6s=N(l8=Z8!@5roL|_JM=HFc1BsbENnc;Dc3x-%s<76EKy+uE5yQC5d@?Etl{mQwfUr6yTmLU6%3kM*$c6c^1V zjcvbQd9E(5el2QwluCSr5*1|kGDZt=Yz@Czh5gtvKZ$$|k;j*72y;nyUkVQaXN2Il z<&^72#g<_{D;F}$^WS!?rHUttGq50@;`t&EM_7k}wR-uZKTGS)`)p__y%x<|`uu?E zy9=p#Q~oE3*I*In4EP|idpMc1=8JRLI3owDbYkx*0J8Ri#^qvU*Jd&|53<#gxE8C^ z1Ms=xm06pHNja{iy3!nRT5vEda6hHQj5~j9`@3kuPv{$d}mbU zjyue7s`&t0ZMqeSLog(Qd4%NW#R{X)=t0tB|rYXL?$WXAA$?JEOU+q33 z$HZJxSJ?|xh79aqdK3dWc=KHz_az{`X6r1w{N#!A_w?FgfnY;P*$YNNse2<*R9pFb zlqtwQjv{iMr16B}Vno*Iyrt+KA^WzS)}(@;=GG#ebNJLpu`i>1cOWjn?ZFBvTqIH^1M*Q_ebtLqD@dfE64J8!c!tk;bB}O&W)=Ez>m-1m- zBY)0po@xK+uPK1(L1s0!_Ns&2hxCH&-6T|Z-?eh+h?@IB>WA>71F5~Lu)&mqnhryE z&HtI>R446~;nd66RkPw~>`j(X1lP66_v%9^VJ_Be83ucG**RQ3w_S}-liXOsb2mM# z_M*^SlV?>b=afe8YZ_FQ7h?zWi(}eSr(^@7Vu--T@m^C32V|Hx1edsk=Nx{1OS>l^RmC5OG1z1|rjm~?pszmd~&ocGJL~7KV($7~+Pu2}m z!d{}wFogWLf>iD@c1|F(@A==vW#;kh?fLT&rE@1~Mh~xx$cx~aPjwWH5Ih(1+Ch=o z_ya!21lXH5qEHNN9DC8o7N@^ko8KX7`OIMQM+-Kct#gT|+xdK}&h|w_w-t z8wwmfy(z43y)F)U0pux@cl4FFQFd_Ro={rxO1&RWRdY1$3O{i>#Z}h!V9O-B%(W!0 zfMJ7-9Ln00BrLQ8Jiu<#VJJ!PjcllXuMEiJ%~3Vy$Q^2w!~hR@`x+U^27M#x!JYr7 z#M1*XYf13uWehqC2x%&%7uC}B$M^J*yIa96nEq>qQ7A|AxH70S19E9OYZ@~f5SL*d zvz5hpr#~;wBCix$Jt#6$Uj<7dHl68X?`;WldHBB5o~P7f5h{6O^pY){f7fvn`zVNW zNnbVUONA^<0P92l+{ohlu;=emGDuVO=>J~L%(iEC@T%jV`| zhdg<=8ll>S)+QzbVq7u3$3aC1ljRy1)}=3-x>bii^rOB}gAb5pxC9|x%mtkq2M2#> z9_V800_k0zgESGGM(5)}E^I(d5&CCdreW&1mN$wZh#;dNb7uXFyt-bnXKz`GOKJ&FY%wO!{|LHK_s1^Tx-u(CBuHeocL9d@&mGgQhVSn>>FY?oadJaLk$*1 zMMA>Z`@b>d|5pTJ5n8M1x8DUo@KJd2Z1jF;9-mRIP2Z=-s>ys)b@lF3g7q0ztfq%+ zrqWjbehV~zJss`jGU_4P5cBK;#Vo7!7{$+L`sg6rVc^O{aDfg>^0q6O0D_#ZID7Fbr_S)>HwH z7y&{^n4p$MbJHszTk9HHa9Q2U+gnh~#Txs2yaIo=_$MFfpVySR7MR`Z|JJoG&Q3ch zqhgy^j17`;m8{jv#QZ={2jjGWxU7L%ehMl}cB}j2e~qLAndV*M#fMpqBXB&%laRIC@@``^0-NB&|sb;0l{nQII3ceV{IEW ztH3+&U~EAeXsmC+3huz6+74H8W}oSnz;4&?og_FrG`g9}cV4)RRKq;qtpA8xX~iCM zAC+>q1vyqb5G%o)MyKI%gDac!F>4H>&WzFU7j>u0Px;%dAH>zUcVMxCP7Xxwv!TpU zoDZam@GMhdDWB_Vbg$GBQfy;#bg$4nf!*!+Gq>KCpEI)(nU75N=KM)Q3NXsID0p1+o0_sr~D4w{O~xOnfYO5FJ?|+r#HF4S|4O$(6v(o3oW#I{z)Vjqe+>g?!!kpQ7U3)-GR%vj^k@5|hzt z-Myg>lie!?KaXq7xMkydlO3uJ*tAx^;SJamXG9i)liVQ6SkEDl(d&iaA%e7cNYpnK z_C!HO&8q%TTVx@5Ah7!q3WE~eUeUcy$sm{hfJ0$^>q%ZZsq^WcPSem@LkLY93rWbL z(+Z+C7w@!n9p5`E68IuXKeezoa;+$hHH^8~r)mFV+VbJO?m+VgXf!5H$@H%n%^J+t>8^?o zk}G1&5+L{B{N4czv4v;$W?cofm63BncC@HHi(^rWkFZiA8VCsFLdXxq`skm>o8pR* z-_#IA*@4`$hJIVDDajh5pU%&Fj|T|aEQ!96X$?&~KVJI{&=1`4f3#`l%0Go;=q%Z+p`>I+fg%RgKfSv?Z!IswI)A{=zO;Q))ELstMeOTYGtzOmNhTmMKiLSt<{xytxNor=dJI3IRvxyD!mr^FtYLc zyf5048FlSrG+u;(DE!pWS_lcO6IEM=suEM6=-X7d>ZG8pV4sRyij?VG(l=5wc9lvVNl8iz% zQQqG$@r9n;w^_302jhEteui^`mS>l<5Acm_sUPL4BsY2hamz_1jR{|n-(*h!5jsEG9mz@6uE0QC#_VMB=E3TNNhj{;-jxu65`lH7 zHeV~?3sJu*ROV{MtSdy!2+aIt4_rbTtP2NK6b{E;QNB*CSbY1qXt#PwZGeT@qhw`^{UVM%l-ad}kP>)0I7 zx>xIC&9-OHLts?ln6r1t(QRv3I(1KS8S8x>J?ABbD1oazM&n&BEueHw(}Ajc`wdD= z-Mvr1G1|}Gvgo%kvV13{I%pvAE<=|iX}xDtZRAg~uMS$tl*o#zR(BCPq&kbPuPIR# zJ}5sD<-mQbac8WTi_))>uidj8RR?Xd>j_;h(4Z=QZG^M`)~}(BY#Gp}fGU2~l`_!) zPs)#F^U-|ZGdtOMod~(n-Tg^$1(8aB>id}-+QcM)93v5&ApVHaN+}BD;n?_`)Ve=n z#U7yNGLr-{Gpl+FX%y%$`*(T`T~xnEA-fdF%&mB3QVU*ToyjX$wP^TbQofZyrf9z`qSsTNQ5q`SZt`k$vwkAwTnVI5(l=ZLtD#uG~Q4%)}6p zF=*$N#lG(PM2^<)cWVIE_@Xt7yT8)w%knq<;zVB*xcWZ8RhAM&q3#WN9Sn3xIrH__ z`HLO{($uQ}VL5?K6Dd=hC}X?iJ1soR1E!LIK0=&jya0o#UuB0XHISw>#aWmb8ez&t zIw*mWKQAunFgia!j8uMC@jT*6dH5xrIsoLFT;Gv7|1z1wLOSI8^lX&XEWp^|X(HK0 z|KUN!fF%Me{-={=A@v6Lf5=iAQRs8cksOk;99m0zMg+HX@cF4bW2NI}*{8A5ek-kT z7lr@yGV&=bw;hIk@6^-m$#&^YNVrsh{~=19Kcl{;?9zj(is|$x1r1LsIUfanPoT19f>91-2-Vaioe(|2S#)^MPbZ{wn6|iuZDNB?eKo!nRWbBR6K?oF_qM`lcET03!k`7a+ui9XqEAL=c}P2&YzPoC2~eV zzjF2#Z827CD9ws_StnJKdoozx2+C-J#ezR&+wbUQ<2cWSe#ZT(MZoo)G`hJ|5fg&{ zz~cZNUg@A}b!$rwfq`*pHtuakPZNuz>i3D?ns!VG7w2od`V@jeN;q|UXdxeuc6l9i zAfrKo#)Z?_n)3!8pfQEEf{&cmzW!e6XM^!Xm$4gi$<&%Qc+}87rU|cR`>ifuCWF{! zyV!&TSeC}J)wT@1$c!vlE78~iPIS>W#z|;JR9`O(yfuhF!c*+{4b%@{OhT%-cXYM;mmTP4o zi~|)fOu&(jC2VE967oT|FmXQ8B zj8aR}#p69a^(Ua!p2GL*wB|kvb72LsT5Zn`Nz)8xoLLe}<{6ppFlyFaLGX<-MpGq# zmR2@#`b?wc>BBkB6s(c0yH{%sKu)^39}L#kAdaKm_bZw*x1#vPtDCiUGy%>xV;$f) zYlv5Cv2;F%JwSSk{7@`50o4^#Wzw0gsf?C0lAU?Jjakg04~?p+-J2ET(g||0dw8o# z;BnQRWXR{og$j4ukG`y47GB#G_?rU7)xN%H?j?ELj*TYMLI2i^dB*tUB$t&HjX)34 z0}fcRLTdNcQp{p-;D!g6JpZm$ds2iZJb>mx~L-zT`weD7#l(N4t zs|L|*Gbg%48F8e1*jed^8ZB_qpz1&Pj5ACWIJWj_M+|qJRB#~nA^x%l<>nhUh2=EV zs1pU97J_tQt1_6CX53wjzmAsEHb%#IL#yZ2(suO8iqT{1bZ!%UeaYy8iMxT^_a|7X zKAUN9SULu>ZQb0O4&igXdlYVWpu{N(b+OQzq?^nMcqm10w&O1`8A1yUC|?XX$QPX^ zHrQFl7Aq7tEd_(8`i03vp{aANeIA;bo?Iz1dwk`$*ic`lpKmLh2giZR7&#!w2&un^ zi`)!{I@Rv3Te0jT`b+m*-U4+Yt4tCuNwu@tq_tke3)(O`! zir5?K3)vp^22rtPE8LCPpymVpHrlujyAB8y5d1mT05Rb-kZAdYcewo-_ppDg`^g+B1tn>V~86wRNM2*8z9#e7Azx zZo*ZMj%vZf&4Q_aX9xcX+$g?NhzdAOL)VYzaR(n;!GzQPPK){g&gIU|2yPMGuF$NsW= ze9kvv_RSk6NdyX;@27o*4+a63X(Eb!+2%c(W9rviS1sqs_1)?KGqw02V4^PB%7qPB zz`v#H!TZhD!uRpza#n@ZQ82FdKcq8QL<7Bl)_Pmc-MWz+YGqGyeYnhyk*c-UB>UE| zS9K7UVYfZG37V~$${kASc~bP_#N7~W{JB*#AYkUURN^`7_uj|W07EX@eDkD)?nlsu zQD~3a45JR`ZMNw1z)yBnf2Y+R4(II80UPvem)iJ@j!8cPkZB)}=eXB35pt%!F@c@_ zq;k)_){jp)4}aVw7cmO)f}MM}irSy8+;+kOYkGMu&xOrccQ44F9ynEgG6%HFhKfK; zu=rpuxIfYv7_EgH7MM?VT2Z{Q1i( zR#y6x0pa5NNtN3P*@z(6DG`{;?WWp4SI&IV##H`Umv;qp8b0WK3@8Y|3@EUTK|!Oz zW-MpzgI0ykt9x#Sr5?ELh8jW?T+jsY?Tw&lni@Ym*)Fvb(Y-HKJ0cvtWghT-FV`-1 zHr^KXCBj^F{u1tL%N^GO(b$fQ^1Y ziF0DLf;NC?11=Lil)>SkszJNWvgb9*BM;1eWO$M@VVa=J0KIC`+VCD(2^hKM4{ZE- z-N37hhRjB4Asq=3{rDxql`|Uj7JX=~SH?nn;QqnVMIY|#S>CQc)YQy z4{JuVCBJ^6c)#J?X7sAwVQKshH9{scMBBZ4I4OgoY}&zgwB?Y1YK)dC4#C(<#E zq`k1u+je@+`0m}zUa`XW_^F(W9SBjSG+0827+3W>gWN{@LPA^!d#0AgqoXUJCx%v9 z_u1=?050!O=kHathwAQd_O+vPZD+*b27~cREk%EeM+D;x&JS@}=f@ZgsXuz1=Q|3) zyiCb-KOPDRncRcffBBWPbe@;AHO#X|YV@}{^?)B(hw-XN7)0iPW2!A`jvc7W`>iyu zX@UXTuaVpK$CRD6yW_I%1s_*k;BZqH6}#t#by?sW@O|zh?zzYoyr$*FAy9@=H~WCA zIPcGd;d3-r%VijTd#)ClK@hCRUwoRYR46-I2o3GUZe>^fRs&M4Tr2L?tG7DO-T-eE zfLT*3)*w#?ol32^ovYu*%4r`SPZvx@*Dk#V6t}D7HbA086S_70>zAeA$%n0ufja34 zqCaZy-QA?Jc9pB5K9H z&8K$GTUD#w{@!|W?sL6lG{f|=fFe3^{I&g;`w)hF^VDGJs;Xv#8^BlN7E6YTfBT&4 zx4?mfwodY4tM8{?cZh)~w3J@kD8HohMazS#;y1;17*0XFG~R37kWTudJ6mlHEZELi z#fxj+CkI!hjtat3G?*h(sa{YA(A_D!$#05#ms&#+ImDlmD3zDmO!}w+MfIjNCVCm1Sg3 zOfyF(&aeV14J|dQ=dr9Tqu`)OuD>tqUr7YcZeHJ#%u%9k&X*!Jhwhr7B!a^3_c+cP z(j>woc+ufb^U~RG+40f37?(YlvV%9};a-|XIPx6-iMWEw&eh!%!yxKOM~(;wub}9d zTSF7Jo2SZ=gsy%Mlq&1jaM0kDjhcC;=?Bu7?vO7hh;093e+yj6;SK;xK1R-ow5Psm zZ2xDY6uuPkEf$ZK-~C;81$aYo3MHLq^=3lXl8;@K%-6gHUSK3jLh|PQ68B}H&bvi) zB56me!=Yi_FhY&v*kn;Z(B`W1>js38-_{tS5`YjESY6rZrN7ve$FixJAMviVHZhb) z9o2enE^Y__#`rvtXIMP!SwXAyuSMbgPvmm?GDYN2p7sfmR#z!nHaMqhXl*5&Y(w6R z0~yuCRElAH(hDv77t6)xYlYQ$<^QQnzCltn}aF4Mh<1JaO6l%!K)|wR(beN zPiKEft0gVv9zK~pQ)0nw;T30-Po3`U>%+8caihE6W=@8JI&+N;_UEP8yIo$*eHf_` zG2i;WcT#wAbFH0s!q4`f;T+!$QN?vjvr%t;E&GPQo0!w6E1{ktBL8BpP%^@im?p0`v<{JdECdsnrCJ&k%H#I1r?CK9)RLP+m{7LFb z+L}*%jgUTek|+Au=xzXBkC%T)IjL4zz_tt+WQ~mixlvFN{l<{gof7T=?#pf@Bzz&j z4UKkizPDrWMQp1bJbU!t@Bgi;x_s2LDN_I0A5zuQ9X<{B%o3U&6BnrcGi)4sv zjWTQ61uAiJ#kmqPLPm z=5%lIng5>z&a(pgp`hc98x>{#YP+EfHm#iJudB(u;@l(8znsyqrUs5BkYvbc{NV~o&iop5B#aFPF#i5^Q7CKv zlESaXsSpR?h3q_%$qs=4SD@+!NUcK_Ew#wYnHq=o?*P=QUxeJ+H z=}d&{duflUGT&e}HET-aSag}G-`mH?Dk|7o^B|_UHgE}NZ-uT)ZUQL{B&IpObEqQ` z-*GYvt9Nbpg;FGs=ql&p^kzHFx)t3k`Z-dn;IwksIxWwezHOH_`e8rzM+^oZkLXV} zcvNMZUe-nfVC{^pzm;Q7a=xG(6X)tjyO5}UJa)N8y={oS>Yeebvf0-%PvowyAZYhJ zMtz63pEpiYezs%PeV|7zt%Nc4W!W307UPaRm7Ict7~ZS>%^#VT6OFVNvIMKvi4F1I zZ)*m}SN2nl&`iW@$$I<-Ml;QKpqgs~dokfgfcnZ0xR_|n-1_IegA8NGz-@(I3mmge z0j6YiXX6>ze70)R$U-YTHhPa6SPC9Q%2cFNpgzK>-wU}TFA7a&3Kg4(DLH$ERNwKN zlN5!jS``lHQmN(R<(*W`+?B6FoZWC6B+2ZFYY$~1Re?rQU~dq76nD>Rvqa^#votx> z@KuAUq7*96(A?!~qfg>sJ$=$ERY7sC{zHTEDZFu$uI$;f=(5A7lZG7s>`Bqy)=1CE zEnGmWVL+*d%^V;B*PRw~c3Wuj5Csr|bPfekfqw_E*#f-af9BCYD`!OC)^c<9B#Z*8 zq8#aI{HydBIbOe~8&)c#u`dOpbo7`_;r!m$Q$T{| z1IR+9k`}-EnxGdg#z=m)t0he?nrw*<>C?){Du5=rhUNik*AH)fMt&EwMX5D(yf7vk zUDg)V1L@&}SvLG>17{#s4~fxWd!6*pTt|2}okah0~XHSw0gf!8E9RD?_YA6)Ypm%P5SqmXUlY4+N9D zb}PUWPGSO$OI%NC-L-5VfQR6ZmVHgMK#jU%_JEBz@H{vF=|}LKteswK%aV|-0#rGOWf%2Y(Pu<-(x zI{y$lNsNA-RqN`TiS*g*To*gG7G@QN+KyZqgOQePzA3Eqvo*@Ey$TE0Qr>>HlKZOe znuoXH>?Asu?^0vG1=;^%KucNjBB}@6PWIw2 zrS5+;?=|C;C7h)pcy8mt+{P56OzqKkgm-zogi!vggeN<%M*sQqGU18(Y{KP7_1OdA zz@6C!h@UAQ(q6r$;{Y8JfsM6G1obA<`A7i60f&&vR28J%;@1khoy?^s4jgkpAE%`$ z8XHCdUL2BBW(|8OLIJY)j>p-_K?(y1kjO6&XNLBu?bN7C^&B{!r(PBg14oC%*uwv4 z?#tt$?%uygC6!7gblc|cu2A-b!k~~lYf`qsSdwK-l6@FU>n)+~>@v0(vW#`?L$ZYI z3`Qu@V6x3(8HV4H@BRHg&p*%e$M5%gUeEc<%V$2~Em%QpNbL#SDOL++L|v9hvI$RP1f`cSkOh+r;4U#@WKiNA2c(QuUP$6-(L9_`wp2 za|$_Vd#JMuAs_CvY!0h=jd~NGM^3x=&g(0WWscO**e;tD!6`K<#kMT3nE9!we+?nY z$0#OXg)F^MTMh1J_|1LSCV)$2vi`mf0qgrLp5%thb##dLt0W4O2R1J5_a(|WQ|=dr zcUn%jlcsqKq5dn4PM$7573Z6%r9;lLAKh}kcPp5X{5|i_RxS-`Tgd+w2gPMY+z=Su%67)pQ9=_O64TGdsW^s#Epmg8PyyvP(VZ%(ileu+5a>JXp5g&OO7c>Sr5im!BOZH=oIZVF{(-b!c_pL`KsJGWk3lu1ACTCi#u zuOb>DzK`Fd0mF_jFjfg0 zg}C-*+`}x#_rig$sU5XH#9~)-b9nf>CvbUKm=4uJHE^NtNy7A?(Mp%YC?9VL{|ibd zox0)_Q0_El0TOB(l<=5%YEO1{f zZ(viQ&sSa-NY=@&Bim9tCQTP3ntjIOldN+_Cu-d{HrMD6%r{DIs!(nPe7440N*Uvq zBipC+KPX4GRp-(&T7NJfl86_+4YZB6KVb}zb>Flh%@&X+j;=MB{ng0DgQ5 z)bdlo{Kx>FI|zipKI_di9?ZmxaT zBu10NYt%yG+8yV_6kkwQ^3Qe;$)i_3uj-6CMYkawt5w_Lpx>h_D#f1hC*3ZZ_nTbi zH%dADzCCrK(R$jAQDayL_I`Cgbu7E>nn;ARd3R?cuM@vuhr{%8-TGqL z&f*pw@m5Z}CR>koUEZXc4ss7yzPV6;3>9Px6w!fZ?a&pIy1=`y!%HUjs6JYupK`+5TgJl-IL>b>+{P|AdJy;8=lb+P?=$@kv1f zSI3E=rHQ4TIDvIF<;~#++~CUB>_N_MtWr!2>AFO z7vQ}~-^?~kdbQLVL*%CjA~t+W@a z6H?#nRh3qkB~nldil--|^`lqwn`mwmA^Maz!dY~yfNomN<33L}1i7Wuabr@=GGSeP zbc#OFd12w0wf6KH<$`%^>t|+?&M4WDzWV2~kczLd07XqKY>v=PH7)XSb!Z_`DEMV+ zX4Pf_Qy6R05iJ>ee1bS!v5325f3L&aXbL1@*>3p-9no<6_fcl69_jv=*Em!VJ!?X| zcV%TQ16Jz)-WV-2an|zP{4YCJrUjIInTpAN_r`VijIPG9AO|g~Z|RMMAe-0%Jlpxe zFHYL&%b7frg8f3)_~yrD*l%i4Yg?vsJmVh9MxnE>R+PCLN60|)_a_#V(IVgEPF z+^7wJBRh?dxFBX~m+>$2e@txW#0pwbClKE~|IiHmr<+hzc1_#Kf2=*^$v@q=D*&V1 z@DI)3|8W59l4tK<W{h|6K@YsA0*9M=QBr zxt1Nhvb49noWFi98TACJr6ShtR`!X|V6i*r9#+H1N`ITS<=EEGfEU~X5fhA`wuisZ z2P8`D?ScECV#ueso1dQ;Y)ie46_;a!m(iq?il2Rs z;8enXF1v=lrC9!X1g&M;dx|1}h)WI8Ls;Lv?KFBa<=LcVuqT%`7TTIiDf1r>@G~HgE`!!j{`>^4tlYK#oc&KNoQnoLk_v_x(h3-jHzf`AhoeWLK zWtTvG6mFhU-`OAV3411sx#{ZM(&q*97(*8O=R%iOlw}@_GdoXoL{O@zmH_;7yWVA4 z1a>_@apNUL#x~j(DXgUkRJH&3b0ek}F}k+iKeO5pcrL+4c(Wnhp5UNT26;2Ut>R7* z6NuKZV6PZpn5jFa*F#6hwPQfnHBsqdocWx&JElLSV|E>szv_IwsEpPBP$~$G=bG?N zNAlSn-WBMZj#mbkbe0UW1sCj&eDC9RTGx5;)H^J*cDRdF_r6xFc!@PU19l;S)Ia8j2TRT$G9-FaBIJok)N>6H#O8?uo`hKuc$ZM5^cc7oelc(mQBV zwF5>FtLlW9yoJ4x7QJ~J(u(4WDS=qHm^;5gL%}4&)e3+f6iqGUanGgZlJWj6UgsrH z9Vvf~R+9?vg!Y2{*!#PgP=ocQXNRz{!;Ul~hq=a&*W7xjw0D2wKVVn`%{2YJ%PJ#N zdMVGc=wTs;mvAb%e=2j1-p7WQ+|tEiS_%B`Q!K}x!rkFQKjr03l$aKY%pge7U)jFd zQ7HJ~Z0aMj7z$uW3CaT9xmnJ9C9Ql^DfJe8eOG_ zR)#B!Tz=}hCss@t+W*i7$?Wo%YA_kp_mWNT!|MdY4}{3zOMO|Z?KHtu9!QH6S)Du5 z51QBcnIMTtP{@NZ^Uu=C#!C;y;ex5zPb=3oH#!&plwm%Pv+XE9B*(w28c!Zc6V%lb8Z?1WGw)r$O%sZ~3Y^4g$?mwUiKD=&!b6G< zAdR#4fASevY4YWdz7cb4G!LZ~^5g7_X&oU}*u-)fxGU%stv{b9nmXMXWD66c#qdWc zi|P}3cp?R09|*a1X~h^tw%NV!hD-sgAFX#?nRq`?q+`M;eSl<6M^*6#tW^3<)n33( z;~Z}WV{v6Pw)~vm3%VT@#{$tCjP$2I(?xm6%h>Y3_2+cKH|8NP&TYRBDlY89W(UFf zF)yx>dvvfcnes8uiqgI~#3ucez5wc>Q*xiW^f(JJPQ@VRYUDdX4Mkt<9Z<7tGUNJa z;Kw9U=#i2*y}&<@xY^jstmN4(dvkav`H!plj}L^@4XBsS)9{V&jP2bmz3;{an~!dY zg=ZnVUr#qL9z9tfc?MlO%_pGAf4}KU4>72;iS|QfKg#*^HU_JVN%a&Mx27C{%-uz` z<@zyxpp4a8;!F{iw`)!Y@VjY1icyTz#tfLbY62lb4bDHmP}_}M9u`ZTaaD_}l{Ni~ z{rT1i;`nAl_7>IY=R{mqa8qB>KGXU;YV`o|5OE=7thjLC`MnKk79<4L4E%N#V{*%? z$y})vW{@<^elRzcNBKZ|0mVh3=2|!)MTP@PRzeo2Rwl<^3yk+{2#9^~x+>uL2D+?* z$5;7y@3nVhm0`}~+b)=VdVUiD$+qG=wRhJdqE93JjoBxmkWeMdiAN>?nZ?mwVzu(azDhola*ST{DKDwo)TjI-OshiF#8z9`$>4oV6Q7jL#5Mv&^~e?MALcZImC{=t6(KF z`uBu-=DAW_7dap)#aqt+x#}kE5n8_2&XeP%E48^P(VBrg5DOvd&WmYhe(lPVbCx)5 z94F+=z4ojDh;hA{5jEfM83J!FO8zd%^$V3)ZoTZ10rQ;Ng*O znI=|s>wQ76lKIg<%7$mO69-T@BjeMPR;gx}^(P{kn5&@YTnv`_`0&KNxhVT--TJgF zXYsg-Wo`j7+D=v2*miAg2S5M|7o~04{a|X6Qe)Jump8p(=}fGROZ(zpFktF+sEZQJ zM2u`C^7ka+Wlh_y=h>|r!F@Ow!9c*im?#StV+;uQ=Z=(8F0e_7&5n}= zf9Ca@T1(k@2b0A{WyB`S>iZmxv~ZkKi=f=A6E{?6Y7-Z-%&FLux;l937*bUrQ6j>4 zesJ7qOgbC0#>#VxMpf;unVJ#Ns$`W;YyOax9JifDg4=jtf?lrVge3Uo7j9{truyk& zZvWI@7hN1wHh;J?EKR6|G0+0}sEmC5-q`@!Kw0zDo6M5~@~rnwbpC2&q!G8pOF?_& z+mM^CZ$q-LkIwZCJh}Yr1G&3Oyj>er;DOjURo-0;g6z%$K{iR6{jBP;$;k~pXw&em zR!f80^Y^dv`ewD>20QAbGrLnDzrKxV^>FqKw*W?Q|20%O*~hpmhRIVNUA#F{_Sx|f#>wdiVmXu0Fd;E44${C zU@M(!*0zKKHBoBmxS8>Ek{Zjuy|jaJ!^;#`YbQUcx-F}e)JOPYVy!<-eRLh%p9!B2 z8f^*VK*8jsKs6%`dv@n&@9JBIM&LvQKJK%FPfGa3vvUe3)vhR@^f5DkFG!U72Wh?$ zYp2aiMXR<$^7$}d4<$$-xl;J$=D$;8)IBB z`ecrdpI_C8CuTmA2eZT8Mhh=^lM@#*gvZ4YbqHWb`rruPLH+e|X zd4%-rH#X3DjOR?n8q)Tr7&TD6gmFvbm2K;s%9JDHC{SDJJk| z@kHAIwWHCtAh;*pIA^Esr;3}zb*3%>FGz%&w~#Pt24rccFl;~J<=%+ z_ei=)l_&9T0Yv~xGqeIpP91LKh;q>(B()yp^k!RdpLdhYJ{gM;dM}&kyr>*E&KyKK z?X2j|9ExBwduwq2wm>bP1n*+%Zuz}*;=K#7d4ott<}57FINJZj%n=}x>?m;r2s|iG zNUp+IXW3U+obE8zX}d2odjlVZm-zO$+8Z$7j>EaxF*P@$#065zx5PKx+Ol{S4Y7gk<{mExeTeArabT8Po~jq&b|vuHoImXgFTfVo zN41Q6G{-QnTVN*h;(tkz2C zrWd!Y#p+FMZq>Do;{X+TlV`+?0xmO0cI1}VP+;s91)luRaz5zgItn5mKnxp?y$z~+ zxQL5wS$`f>cOroY$bc|45L>a8O&k0>!k9H@TT5`nNz$q9{4bXm*_x?tpchayQ?W|T=$%p?Q6bDOd3m9%>fuOtAobs>#vTcoSHjjQZ=+n|V zBLb#QpV?ah`Nj2X@r?6N6?-)Bm%5ahxz9WZgl9-CJRuY(U?QOXh z^Su@d;7zZYy{$V&Mb2c$weCvc%JJtF~6SwQFXh#q* zwAoOigw_t*#?pAcE4KrAF*j97n#KkWuamoKVFEEjtq1T$TZsI(Le^sR4Aw z47Gmy&D8ey`nBb4{~xT=+mk|>PV7!|NlZ7sz!=?VUNH%7V#k8wZ_(Wg&^oUl%^z)p zFKkbK_)xk7@XJ{|)$joHI`203yn1Hu^RFHv!fLEoSRcp9@ESgZmT8=#K5w?;w&mO4{6?-=h9;uR1R{PXn zr-xc5caVEO9lq*LM?BWoB?JvCyXsgc+}27J`)o^|sCW2wxzQZdpMHK&!Tk_e{KD$s z8SW4|X?b*;HMBKdz0q*GXWxjvd0}lTGYo3UIuE$D>+bU9INsvQYUfLdQ@QBl0t}|W zHhP*m-4d`UKoFiElBC{NEmWUy1+Knrg7%sRaczETdm6v>ymgyh@2RnA%u~+@*&0b5 z-6$UXruC?CT-JSEG+jQ1*wXu44r35+1r<;-e0Are*eIsvcM0&+Y_>7lR8i$$Cy)`&jgG;i5k?V325TByeOt@*Ymy0$5$9C`Ssy}WwZlPq1dUCV5W8cs|ncK>V+OWIa#-5Daz*MuhK-CHIjLm@3T1de{ zM%n?eHhAMN7_T&5hL&axEm)$yH;aeeBgS6%@L>uuGO=Am*sg&VuKk#5)gGC==WB6% zrZTij(e|>=FfEEZ+1zc_bwd7{&9+}&#@M3$hPtAC%f8>xp}_}S;#sGe7;EPkMS}!X z=f2%Qusdft?>%p8i%`WbS@g+!ZjCRaxFIUNgCb5^G_H{CMX18n{g5f0iJ_m+tz{_k z(a#d`3gEovsV2&)q7x5Zl$s+Iz!xp|0+0LK?bL+d_d?wNUbwU*0fE4s;2WB|hvK5E zB#4cDhP$AEIHX6KYy<8*r!Q)q0TE8d5pW#yj_~zMO5ts3g~&NDFr9>Qo`K!0vXD2G zB#nH0GF8cq?N!I|@wJp@dSE;f1c^LBKznLX{gRen$~2S0E`+^jNLFMfE*r&yMF|MufQ+-1vaL{s2p#aJnj?$WRe z$Xc;wcJyZvY^CbUpwUA{&zg%Z(&}1Wa(?Dnr{2<7YuFDz&*I@8Y%8j2^$6}Xfk)q7 z1eLZPGfrjox4+1C{16KErtqC7Id*plg-l~bc_Y$g4X1DN#!=VGP1`z0KMY^##i@D? z%EOh8o^%%Bevl-gaUmIdXVNKxAex!xJCx~VDpY{}+V*HV(gCEEZi;d$IlN|ZxF_d8 z`Ss?Ba}l)i@lw4_`CQrc#~N#oHI}`ne6)Y+Mw_S<!^lY`VUt=T)67`%3Lw; z1V~MNW-oQr_d@=`TK?ybWO!~5qP zYmZ7{bQ7C}sITWz@cKiS&@cO3opkz(508*m!wh1ib@@(Tu!t>?T=3<%cSTKY^AYEQ zghBvUrm@_~R9w`^!-b*u)RT5+nwZtr<*xw6G@B`o>U$0oS)KSk(_ToK`- z!;)ILL1ueUYLGNu5~brPVOo6?Jw7CCnc5e zas3UxX8G!2h+SUJ1bxdxl}PK{Ni#M0&lcK3zdRfYyiU=gWTA(=iZ)v&>Ny~c5{On$gbDoxZ&!8O%d%~r4xGflZ?e0N&;dLD)y+BeK$iYlb%k`po4oomi49vF)6Nd|(xFkD4vkPMCXp(PV!<&k=8!s4{p8@dngMQ z;_Y{51nB@$Ti4r1R}+Wq$6Mmb3>Qi=>sj&T0*z81_!X0XCCx}K<1I~uWR}Nu{_7ie zczo2h1SwdFenPuYAc(i1Q~^;B1ad=i8d)c_ic5g=N{9jEMd0`+OaA48_!aBfxb^WW0|bV=Wa85?Q0nm0y%uO zT%+Tf4^4N-u^CNWB{=?ZpnNy1*dW;1KYTs&XPb8P@}|l70ump+n|##V{%wlu*?_g?& z2h0bcayPL1&|ylV!$%ocXC!xmUW86e+V0W9DOJ^<+6!Q}AwEC8F4=eQDN0k26;}5L zpX8|P4xU|c#BwsgE|LoduL9>7Le+-O0M|72&=9ygg20Y#w^3l+4R3!TZ}*4JD3JZ_ zYG)qH?E}FXQkeQH)pnKiJ@+{0xj^-N=Ro+(>_x)n+a%Lg(`Wl$;;C@RgEbswCK}h?{ZLP0)dVRQu zT)Gpb^TGFzH0i*ipf@-}=dY!5Ku%p?T2czXY%xY2kK!$%D?~Akpuv-4;W;QH0*rOo zuV>Hd?y;swu}gIG`;D<|Q2`BKd%8Sko3(>1HWY$DzRmy$yOs|vJ!;{E3hTYIVV6x6 zdlL}UK(T&5p!qEPqWc?$t3GR=j(}#x#E`j65FZ3`j`15L^fW*`GZgE&S@2&U3>bk3 z&iqO*7y0zn*T5<<`S@Xfeh8%eR|h+*iW)mUe*;1X>Fv?$_2)7pM1w)01Q7W+3~6`; zMt_9Ucu&IBU&oPW1@9t6!8c9hcrp7Sb~#VUE z+dVe_k7dm*cD|eCCnXH8{APLTz_=>pPt>>Ng@pw}V+T$D#Udqxn6Ul#D*YEB?n&iZ z3gYnm$m&cc_U94R?7ZMFp#EGokr*ZBn?H+59Mo-6;s*)(qVjv?vF)qA4_iyoAEKy@ zf6V(K5Uo-wwyF!N*!=0y2hvYSyWG>H^q7Kw-&f&+)Mfh)j+%?Lqnn~)!R|`sBfsugOlU<#;V z%xB&HVqTdZL?OQwdi*Vsf*&ddQA65`h=bgoo_EkjAjOsULHUMYl%tvoAKLC~o!4FGS38P(~Szj)AK%qd`eqzEMk; zIcI%*YnDghL+OnEhd(Zh@^Kwr~uJ?gu4pmrBKp+k~xO7LT%_SxxD8g_2 z%BA9Yy_8T2uh<++F;V1*@C^emMF)!j)_$g(n+J^(hxJt#Z&(=(m03XpoL|JkP`tqc^Q` z2>3U1gvrr|(oZ_Ub}1D+1&q*Bk}4PY2E#EJtg`Dg$x=cjA}j9=NP+jCoDqf`jw;tG zN7>~NaJS;c$h)JBETPwOeZ_$ z^byE-apby^HFvt=uanlr&+c|H2vpA;t*MXO2b6oMZZNSPMVHtGAgRI*8tD7odNF21 zai7DHDO&lZX%WKGXJTZCQZS~ya($20kJ_-hPO;JJ3j9aNVrXErQd#7mAe=G}g8xRtih(n%s-_Prm zFxVi0ph}-Sg0KM`AZaIF>wN`RGrSORu^8pp_x^I+a2H*l*8r`n&&i$Lk!*M z9KF)?^tz1%3_)0QBdTQH(xn6_$i6KjE+jzGBuPhgge+7o@W_rNvNC|O5rW+^3_RgK zFxOBU9#n@O=xDA-Zr!mWZwAMCf8Wf@{G1U2DE#Dlu+*2O+5qwPNnx{%98h^=Q!R#Y zyd#30o#wc7=LUheQnxo0^>u!#8voQ+%9e4O|Ham6=k=`Mw*K?oq6oK-v0VgH2@&Hv zzEWiK-se`;WDmER7)tU<_ES=TSvYA{9%~>ag3mgy!Bft@>6Ba@T)3PN>hv!aPTJoh zWToh?Ahd8gVrZuA1OSC84iS97u9f2{Uv!I*%6BT!LFIrtO(rEn=A-6KRW=|6xKRN> zN1+AfUA|@;Okdy6L)YeT$zogH_pA6DmjE2bM@bIecclp_W#f`#y5t3CF>r>&5TNOV zGFR#fkGSiXWnqO2@@3n!=AY`q-M4qpC1^LUAw_H#d8OBuJDhbqBqi%>-q7{#59PlRE{?9sdo)YN52KmrS=Q z%Sh8goi-a9pYw-YbOHs_cG?*q@D8rfre;L}9{i=W-Mu-JxEb@*adZu3tnqDD>lfzS tFzJ%(|MCad+btpvTE0{}DK7SA8=8=hT!aW(Ufv;hL&r$F@S5GD{{qAd*aZLp literal 0 HcmV?d00001 diff --git a/docs/pictures/paramTable.png b/docs/pictures/paramTable.png index 8ac96f6141c0b6c333018d3588f536e02cab073d..fffaa747b70e11a52096947b7ad51b0665fc1878 100644 GIT binary patch delta 53557 zcma&NWl)?=*EKqX5CSBS;1(tXcXubj-5r9vI}BV28a%kWySux)1`QtEVem7#@8>!7 zp7&IJ^YADE-M#l(YwzA2{0IJSAO5EbaD^uEMM&9A_waFaSZDZrH|R(}3|_|1 z@970vTBb>r@prmV?Yhp_^)iNjLW-NOgtFUzCz?$#j|#D@O%_hV#pfX=5zTy9P0~h2 zwpw#>R+*QIXE|s4#B5MH@>rGDI)5R<|KM%8I}{ok`Xe;7H8mS9w}zwvA;EfKwnwpA(i=>%e1fzjKoG)Uf#9mkbeb=e= zJPq8*ZTg)>v_R!wY3xKMqkur+J3k-AN0DoJj5r{WRrmW__7UMTdxDp? zkNwY3fv}4KTcrfp*X!1Q82;z`KJ`!C05oEg_k8IRd*o{TSwsC?;IX*83HVNu2Lg2% zbA4J1&}e~*7narn*Loa#$rro81%og0Ky~8pQsDXId6V;;eKze8=lOD+`j^2d^m(=& ziX-vU?D;Ob4vrdV2A;uvOg7WNEyI(<161y5ubq7Uss6d88{Ijv`IfYfZGO9h z3Ix*T5!+f~9H!ylYCYF`rt!egIu2U%dhQi?#IX+M*-6jmCa;knf;`$TIcl^1L`hwk zsyAI#D*x`CklQDVw0c+ne7vUi6!Ls-xiKC8eBb}Pln*>#08hZ9fHzd&3=XB<{G&T@ zvmnnHv-ipJ4_f}Ip1XT7ofslzTuh`mx$#bf(d|Fl@XfJQhd0DY#fEHy5<-?q1+FjC zqfBGTM~>AAK%lYlzpIK*$uB%L5|psr7NzcJp@okzZGZ=6pl<{ z!&Or$Stl*82tF5V_%if}2G35%?C^A7nf_MXId=+2qrsl5TvS1C4XPno5Ti=x68@q1 z^aAv)+VM-<+iL8mF7sgxCjVLNdlhV5+~|u8@oYMZyb`+PNS9XqRD4e|w*$Rrv}d4f z7kFxi>J_E+oLdityy~{U8)NA=n zu)_p#o-D7KINRDE?`Bao<3J#g=|4W+2plS|o7ZeJCrZTkZShi0nGsA!kzcVGEnWri z-#5x=Km#OUn( zTY5RTXG%7GB|pk-23WBD3&(=c+-Q{x>;xLXxwiCXw95P{npP}>5 zE-C&?tUAhrW_6o6XRS;sF)g9d*|!y}G;cS(x5iC(gkg(_Y6w)lw~+bhlm3_*;lI(% z7|D&Wg1Kpjw5NRtv0qrQ&@YqIH}$A(30z=Q0}|9TTP1AoUAddt=G z?aA{fES^`eA5AefxR(R8m~qZP*PPD5&cGid6z4AJ zC?XFt$|jcN+&aO3W0U>$YSOm8sLk|)Dcl2^JW(DrVOxJBR_F{4)S#kF|ABZUYr~fw zh4tU3Mg~6^?Y|!h{>MiwFmQY28vVA56%fM$udNcLc{i%1{vJ|qmH}D;kBnDpqVf={ zkzKXG?qYBe2Iy4WthfI$5GSyEX?%liMb352P3~oHKV5}G@+%5v*J+uGooQU+Knk$; zRcd9c+q2d|e2j2DD_M`9GCqMjc0yxS9ryX5LH~>eNgW@@;_p7Hv~_f?-@S+;z&1_z18owZ!1P#APo=x9Ja?$FC*Khf`_sIoXEP6f;EbT{=c^Ul(aw;c?O{lG6l* zJ5Dhlp9HJGBVf*na`SeHIaiJLom9bGl7wSmr4Xp2_S5rN;DCJ0&d?yRTS%JM9=nlE z?vsKaMR+Z8+piPZ!F|A@he{~XEJGFR>Ylik{di>HDRkX4yeA>^c(k#X8FYlLpL+FT z8ac+&yqfV(Fq&dvS(=K4X!7f?b+t9y+u4z7a*n@(NgQcd1(U+TyHnY-JLAH_OFno~ zNNIeU>*y-mX>^9P5&exooq^CZAvL4|TM_1wWrSBavKj#!@zFz^=d1e}PD;}$;xxQ` zDt>l~8PB~0gx_iTXL_?!2cjEJ5ZrSkIfh;J9D_t#zH3SyW7|(%nxB1)Z)Ws5>+Gss zhD@0y)`C=f`xhteMwnUar;@`z9HpJn(Q-A>P40t;xxzFkISzmd;ncBh}pqv&cs3Ci`4=wi`mxh0*9aM^XM>PL9L7~JLM#;~7_$o>kvp(biHqf?wrLOrG z@rCFCEK-0~y&)UyxP?tk8%HgH8c$A&m}RH(nbs;_Ha~EYcOCRCIg^H8adAqZQ7LP% zk=FQo?)}$~QdJMaTt5V+zeY`v@h}FeKp5v=;xJO2CwJTkhlaW2Rz_@1_%ozh>69z& zDGd0daD+jg7noytJ3(S&w8_0gCGge`k5EvP(v26EG+V;n}^D?rc)TT zP^V?Y_}p8oa-tCH^?>yYkWFA4^hZ#~lWer+gGu%YQuu(R6drpW1-NZ__CayAZyTGQ zr9!q@X0RgHUXPKYF1h3Sg-UwMcIaAGR(l}l*((D&SseSU%ff2*X3fJ55_6lsi~5U> zrVcp7c{jWG&|Z$0lKjJ>-UOU$SSJWCS&YnBa@gt9|E5t8DQC;(Gt-0l(!Eb&WF zgJS3g{2}~7JlgLUjyf#L(*s=y0&XC?=?v%sU4u;ix5hHOGZu-5TKHZ>5XUH0Z{QOZ zKO3n(%{sBoGyy6SCU`y!x`{=K2eSF_aQ?AU%Pv_K&JhmOVIqI_eu^Id-)E6MEI6Y5 z=?EO1AtMwmn1l%I_V1ppNxMB=xjybQ`D|?BHvo>DEw_c-e0i_nL1n?JkcX$e?e)`R z-Il!jy(`yMN3yLDrGx9o)FzqDJk)Xi$6tNC_^LKaRVt1g+h?`ZJ*+1Cp-0>O0-EhP znB2KxZhp#(6RsoL*^_JoKdc$LzpUvTd(}!h}u6*9)C`&92l`bQOtn}1mo`%di$ve|B{~Umxsy_?vZm69f8W$X0#wH zDulpg@efr*1bS_f*S{ERQ!oni-~1kbwa1JiMfv^Y_$n2jAxcaSBELTpM%%}4g2SQ5 z;4Xxxv)i>eZjD=l@XcB521%&6!}+>|gZkb5ZIk$Ku1emEZD}*XpLmLbA4DBLa|@m+nAKAm z7X$lqKCGB>d2KjGXhB}LC3!1xA)Zz3cVkJEwJ$DP3Qw9g47r-fUnE%5S-anwZOu+= zYd}iI=)TPVAsG0>Yk_0JX-vr#L#4sF|PvQp*9N?847ls zgN|WP(-#R-6|z!-FvwxA*vGt<2|u~f;@md2mXBc)hDDokN3_CS$0K*AW5$W5eU$vz z*_OQDC{9GCX`9w~RPh{KT_4p`#ekzOY&0(1_^_IwVjaYa{)6enZ=JP}>#Fs!z}`;4=u5`x(uFF= z<^+?;$FXH(N2l+3lu|^EA(HjMnMmoB{S0WTT>U=9tmZ~c^K9hPLZKQ{EJ@+0{=5B> zC)seL)>m7aGKiqE_%i4Z`{lbI&>KjgQ?oV+GO5GT(rw523(Z=Y zaLXjDd5)ct%>}PxKhY}q?G|t)7nCh+calPW-D{Jv*>???ONa6GlN(6ar;thFQ~WTV zCTYET#acfb!j0)lqXO3;d$&Q-XOo`qfQ?%E%pi#gFGiV8Xdybz@8z|UTHLVw+ZY>- zNbl2uXkl3WXjvsq=1QK?!ql73@97d3b?ribWo4n@3Q2~S_U?U>jp28mGtsRMLF0gh zhPsY&akKyqKA?vM9`=Qwgx2nzzVrQc@iVhWSR@S7>XI6uWoPPV<@>c~CW*{uws&bU z=+T|j-!~(Lp|Oyo0n%m?J*$-E6aO7t>3s{LkLc1q+rgL@Sb(pZfcuRtcvh(;50Qp* z{GN=^i`c*?OT~XFcUG8s<$C@$Zh;QXK6$mGT^{l+`RzZi!>Rc@=)NsEMSt7Hh2NfE zi$+rt0^}iwakWdA^Y{5@dh2d?+q&%@p&8JISN!eVgu0LNsYFV?a3D1i8vbK}7EZ!d zZ|w3t@22}c&oWb-XxM4ao-4Pev{eFO{#!- zY!yS3cme~uQWdi+ra;{etVSNzCUzf8J8Z&3Jm|^LYv>pPg+Lu4)c!x|ZjKUS~|K8>^|&evvH^VE;xB z46hsVu(^FF362=9NSUJ^u>FemL%>BLP{TXtfTFHG{qrX+$|`Nkt)CbBWnx%#3k$o#oi_@tUwC?f%oHN zm=hOlkWlw2ORo3O)Zu@dSnIt&x< z>LQQmFgOBV zd?xXKt6<}^O78lO@~qskY#03irGTi(2%X<>X#zJYIY{o{9wB#wdI zack*%LL9QV<1WuZk1yIY;+C-=sqtmpDCBFf9ybqOR50x`q*VF%>d8{uZMV(}P3p;o zbJpk^03g59goq}+mJbH>N+c4R{HNQjRrL`_8W5*OY3|6s7{a^;lgq{?^poO24Ru>K z?camfmhTng zF(kIwX6r^(=M!iD9GHHm72Va3D#5E}E5faW81-RB>~*t@<35rMbVgbc_$#Z=OE9p` zV@1ggs#y-YdE$-J@Uo!=@y4}SEQ@X+mZe}i9gY#;e*hG)F3_3V{eCi zw^0Sze#6HTNC}~LECQ6}ZMdm)I-aaDb2>MBLQho^RjEzNy4d%>(tf~dAUfs*!N%pb zvbx2u^uSrtYadj%Y|IoAL3qUGR}}TXi6ba-oLATo;Km_r3<;K}>OvQB3s0&J+F#Tn zlJ1Ic9amArpa@Orn^|zQ`UKM{8d*8^KI-gzQ(6(W8b3yV+Sqv0PzS-5)ZbeNMD(o< zDh{_IVmj89IoN(-`_U&kXZFuLV)9}%n%=P@h38TmTuubK_tuXBE%ixm^N9Kp+j=Uz zI@V(Vg$2eTA6d@hl#D`xjY<0b1~gOXqcdjm$28l#$A0KQNJr_i!w?x!q+t1o8KdPz zH9Kd0Bx;qF#{yGx23)Sn2WmRfyCc^?dvm*w`0aJ{yQiIp?gR1$nOUO9>>jZK*vSco zNoj{ptYrT9HBKJeTH{id&fm0I6{J0~=0V~MgxOH_d?Hf2UiEeeC|*pz%nd&101N^V zy}$%FBlPxT{!@eP`!_|Xt$vbi>qKwf{+lLTM!e5z!Ga!(GpVAC9q*}E~h2KF_I00*sq)kH&mL-fg z-=9aeRG1Y1{Ol{Df-jR=NtZGRL}5!24E9nnhL0^)GdiYnWrN+b7owPF*7?mxQcR0H4dpExV?GU+q*e>Q3;E^?shLM8?wk0wlCRI9M)?hbFI z*vNY}4mcw2D57CZ)5X~-Lwxc;s#JW)LTbd%DBkK?zU_bmt6A`bQDOpM(~g#1ZP}%h z9?g8(%l>)O(jDk+)4+OhpeZ+INt=60Z$Ih_sN~OHu{WJF*euH8`k8V0C{%Tt^Z7G# zH}_G|BzvVLzm}l!U;$&(;nQG_mn+iF3bo74oTUjd`DggIx#V3AKEF^G@(3 z#i9l!?R%Y%+|e=%Whjuz)08&v8KQd&@YRn-V}54q3blopIxD~weH)jN*DsfDo(E-g zi?8A(1HWdcl!8ihiO{*Ei(sfH5q4ub*HSDYJhzCThxp@z;hPXve{>-v9~VtCyC3JD zf>(ExCeiCl1>IGPPyAexqe`LcL8qR|L^^AB!@cF(uy%wxQMD~{R$WK$>uonsZHOU{FZcd$Vtn8)M(KRBR`^F?b z_j%&m5cRg6MF+^qZ8mQE2F|rratN_(O}%^#u&bIYC}J*5pfBZ^jOh^}ZL1Nig6uiv z=NJ6Wm_WW@9Vy4#e2G5!yLpO$OXWH0XN`6ugaT&!rE8C_0Y?JkJ%W*WZ zH0kqvJYeZb+R*X99_ISk~5CmhxR-{?Bn#lOc7tdI~!)#6*;wy*wIF*sl~R-L$gUC>Z@>96mk4Ce`c! zg)<*N7*-h;i=kRP|KQcUNA{0eOPYdC9|J3PRz!$9*XMp&Y z5>PIsd`kx}$+qthl4hI->hBIlJ62=nyQ$1_Rd)!*kgGGoFTo$Sw{pggzm3J6z?P|; z{bVTeU2rDlNidSG9_KIdQ6y@qfOW=cjrLvk2coV7|6g-hXTtW}xk@%RdEfV1(bUm0 z8)BFxiQMow(kO~gS={-lN&*YG<)nFpY{f?fEgSGwGOuQ23u-;`wx+)=CN1nt%x)L$ zO^NY&k236k&D&eevWjc}In$p<)vacm|MA@@G!l{c0H8)x?5vcJ$jmW*CkHcxd`2+z*5WpZX8_sdXYn_Q!YP;_*0{N z433~D92WDiF~Gt4VOnA)J50Bn$^om}n&;s?ZDVxc;T`hh$h1E=a~n<^ zno!!^hjef=lvC_Wr96&Sp7>7G!Lo_<)7t!-F`1lBiqr|px|$|cW^wC9 zD@nUqlcNKZ|OD3w_wO_j~l(+ zJEtyCOAVOX466uhm%+erZi(yD7ljB%FXhCmoGrCdBm#Df@7eZGU3@7mz# ztx(s#=Bv*QFPo0p@LQV}2YiS~KQ|@7<~!rE&BWVdy^k>Rp32h_mi(bI8(ND6o}HN9 zBR1|qI!h@g2<)zNQmSJhTZA{mhz)S3!~M~^U_AKpcHiifUBQ>98=LddZD1m}%;*&` zDco%Ii;s$L`*rS`T>r`R#(vjF>wk25;k{fv|MB;W|8lIb*pz45Z zA~Av^e#)Vp91n|eFInz6v#~ut?d85ZhjA!kW)u;lUt@Vxf~4p5o*dWj9icNO1O|Sy zh`(NX25iOb*iuv>(mRD$Gt#qt!x2Em)5Jo|NBuTQ z#vA9ld#DBMFZ`+b!)Zk4NgfmrsnS+pzf7;W4gZYuO)H0i-@7e*?HaY^^`8vAj}{?f znrYVPDoGdHBu_=}mxd$KEs`>V*iHh^##dVa&pFbUD@Cf;=+aiBdbIHhY|*3@W1{;t zv|oR;x-@NcUzQk(<^w&$Z72c42bt=0{9~Bwc-%tHr2fWO!m1lCG}b*`XN?H%#|)*C zb}OBiuKizbOW2Z0cT`h?<1$Y}N=PX$ILi-FKz6dQN>79bZ|j{nN|%OnoBFBg6CxQv zT^svAR4t9y9p&%`ZD>L(PNiCM3|&Cak?WRgo0){Fz9srS_E)I{gYpk55cRaM$K_C( zM-p8Zov(P;!3os-DXkaYi&c2*cumh$D!}vQu|}Ba+(`*D(N@~a`45u7J?*I=H3m&I zO_>|ZdQ2+};42lT_|;*}=Y)6y*lA#ZmznZerzU&v zuTQ)fCS=JkH(Q#YpN=1dj$x}Zd3n=!>UEWzq*s}Ft%%sX!-iuppt3NB>pTf;d%=Om zMjg&N1zg-rlfx@5bdFy;9w^9xlM7mGhP!U*l*aXZOls#GG^}{}3P&|lrD>!NYpc~E zJrP4F&I$7ZJI3P(dHUsnL3;eMVW-j>+q(yhWCOgOKbz6DU0EMjm{h6c6ZP!*G}S-h z+Y5bOOtG}2YieKsbu>0kFn|HtBj#nM)PkQe-OqGGUu4>M6Bob zOEiBOl&20qpX>k9pG2^J<9HLxr~y&;1c%#ACIT0)$&p1-^Nvd?G-@hdJE+Oce~R_Z0HUxC!TIrcp)rmJ5K&<>c-mbSF!mHo+Sm@^k#U#N?M%KSepA9LKpQA zMHWwa%JuB4Zi*DAYF7H7?WnQ*Q7MY7!SAqypr%)srwCfXJN%Y;O5pc47ETP)^2&VY zm$UUFoluR?(>FTB95;D6 z>$6i-(j}fwL zv>k411u1mo(UOi#YH0{92@oD48w%}+znO-Mq4L5#mfZeWPlWEquE+TG^Zh_uP&hLu zhO^`D9b9n4{vK}Lpcag~%bGlMnNbI+&JERP>MZ-%?e{(_LJU7GNi;;bjzJ42A4lFZOBIqkGmMj#P2(eB(YyOS3o(hFYVPV5Z?w} zS+#u?46I1;!uVi)%Bd6FigdT(dyTO)Bs<{WI2$>6*T|-3-ydj-9bcm=?f*2TYaIUx z2v|K&_?`KVZA3u@M={5FxF6eJ{~_cCyuqOpRnD(!9h+t=5W-nks((Ddyi@4Z8)&R6 zCy}q0w|VxKp3;s^eWxh}m_|1aCUA2%GT))LhfGtyH}UuP@SQI$d^)Rex-2x~^5yxk z+)yY0PKT@po+}rc}G$e2EaB4kI>ls422} zL!fG)OCucmLncmooOfY=O4~t2@z7~%2j!Jj#o5+&Ugp=;-}=7X!3#^pVmM&7%k1iv z)3ES)TX!paj?<^p<&7x6ob8^eE)|g<=a!Kq5C(xN(;LbuTnd%|)%=18ckDF)r4Yx7 zy{omQH0u6C<9_Owo88x~riKD)4!L?(76!hGaQtT;e*ns+9N+@|Hk-h0m72Bn4|aZx z2ujy))<{p6(~QxSvlmp;nA!EdJgEx}c;c*iybK=-5@pW3O{LrQ3WE}GV|RtC$dB{> zG*V7~6~g_!Io)4?Hdr!QEKeN^=vyFrS%pja<*FRaI>xha#YcP!xD9XM+3H*2HAK*& zh}MuE&5E>+^|5qpL#gc)C1{V?&pi(k@kQB$7VFWW0Eg%=KBXhVGiTLcUY(vrS-_J8AkshcUe=r6 zs>iI8f7qMPH*@nPdGa!QQq~|?~h3DN2+^9ipWh$!8^1R+M zW$R9CqD-k+bETeFy#z7j^e*pBQ@xD$w>>J1>~Auexf+|EBP6>gEK%m8`#M&=6(^|k z%rY^S5;kPp8689H@m6gHK*tvX@E2#e?nLGWhqIYw%dd^lpZUr{^!afd+Wb{6VrOj=lkhOPVgpj7_gq@ou*EphM1$^<|6Acj~6GPu!LK&^boeoG^D(?2w48Iz}nH zohwJRG3$xy3oQM_1Ba)pH=jsGwM4iED)M92dYZy<6_?hWO;j*!fqY*Ay}9%?%EjNI z>;KwPDuIFiYN>x{B_@opqnd_JM=x@0iU)z+KhB2y)7zyO#*vCuZbg&NP3juiHbbs~ ziw#(nWn{In3BE55cw(i{@GGYJra?sTJ{rC#uFWRxy5W!8*$TqJK7H&ot}TXo6lM*@ zNf7)J&B#JRd7xXT&#PNQEkX^vRD#)Wt)${(`qonnOK#k-;ei3XNpN`x5lPr-N&xy_ zjBANp5nMa*9+&bI)aA?h4-bYOIoWNNv2Kb66{v6?BqxPj`%LxVpkt~#1xqC?Y_Z-d zgj=Xq%_^1nCF*V~tz|q8nG_Tmspc@muVv&v|_4?b~Cw{?RErHnRkK32~1+*>zIISOmT3anU{| zYgK4M0NV)Tc7&jBfTTdMNC{UJANS>pwC$9{F1noO4G zUo7J`yQ{I(yB>K5%xtXW_#qOCELV^Q-`mg^#phMUiH@Qy`ik(LB%C3lRY z@g?uIRw4~Ylp^a3cYif`*u@X4ugOiwRC{hS?^Ci>Mm* zmSrZF*-OyDLx zU`R}(YuEkaYx(1Pl-iq*)ZTHg z{a@b)`LGyY6f;3psx={gc1(*Y#+{$PZ5SeBf}yiIEaWrz>wm0Hm(8wBwG z_CI_9(!uHdz^~2Q`L(NJW3!EelOhbO{sn7~8sMhyHaOzq%hO|W_xh;ZY~zr9by;jO z+Srmy|Bb6@<-y{{G8d<`d_O|CBvVO-iA!UvalItEEQSb!b{mLTeJ@eZsuC zvsi30&Pq!Q<}pya!_jMoC#o$Pv?a%X zfi6A);hV>$vl`S;(}>le5ju{a5SaSdk;?PgOc~(HZqM6I+jX&;m*Z_2%Dk#5P{J^| z8bt|!e7(xdhDK^VZ62`f4~3CoJ8v~oK3cF@hGmT&SZh#y4EyL>6X!zIA>Rq?rS-mo zwM;-!m{N1a!a~?{f{jti0iU|84-+)n+?(1+*}eT=yfZh?4yVU|3lYf~jS~|qmDSH7 z!>M9(cbyCuY-H2o%gt!lq!4$(_pfLbSKClQcYQ8!>8-o z1g9!}b{*=vN&LcFG470w+y?-U0j08*m1G;%y%FxiEcT>;^PN5W=}2)strNz35s#|K zjVCtu*Pq>&W_rc6$!kB7?c7W~z`s+D7%uLRESj+>TO5#&#A9uFOL9P~d&5Q(k2T%j zWaH*`dxnwdi|AzTg@#l0dB%FtN;fO>#@6wr04OAoIJvb|N3e*P`ySvlx3%UlFO}`3 z;?IiRUynp2jhIihN~Y#n*tD)Zl&7VC|Fz%KS=HLy*PsPg9;LY^ZZ|`GM5wg(-O%Xb zOt3o5ZNmOlK7Vx`cD(9}HNCz~g_i4V5SHOqK|r0LO7_pXWq$Wq;!Tc~jbjJx+%se8 zkopPmj-~^$r2ccZb`~IfhigFQ%ws`va_nEELv9|hzdEEAU=XWdThzJSg5GLesI{bU zVI@LJ<6E>47Z5efMOyvNy$riDuY72v|)&iobXOEd;8>oxkZIOJI@@>@E3^p#^ zDcU+@<#?ALFKCK9;M=698iMvntMr+PbM%O>`0%;JWRR>|k_}Kd4Z|oC^rBH?sL2pO zLurS^>^e|>VZF}Jvx;Utl+zJd#T=GdXLv8>KoP7Fr;hbt#XDG1ZkE6qkmmbBh|gsN zM{RzXE=Z+wuP|TM%g~5!4PV>Z)Yo8T_EB{tvfqqSTumJE^f=vYN95>M;mtm;6@I6c z<4-KjN3-fjs0gU=_@IRTFqD$QtaJ#HlsZA#YSbrjF14yJDx%BxsGF|d+o6n0JSW%l zPgcuP*{;soA{||x3~oHvtz(Os9}hu$kL{0bN^hiXA!%5fGof3UwXC!j?At%HS(n|Y z(QV=X8ZXi|pZa6(aXdyygwgmDM-ypjFZedMA(J7o*o&p7(0&ayt;n!$JB_OdCFfKBYM^pje|GF{KR{!nkHKvNdDETwoSj)6F`4NH5qh{S#X(({Og8_>g5=oA) zwrIkXyANaT++IkG*H)zT-fojvGp6t2Zq@ecSo#C-tbFV=D7c$+mCHC|=y{V3h%%Mb z&|d0mn32>m4{R0O1Qpb(E=~J`O5?a1QtG}_WftaoJFl*qEbn!QxblcG2K;Jj4JMu- zA71&j{(MR7vhs0gK<2F+-!EHe*QxA^f&%9ije>vd605>)+%`0P$``h;aijyhN^Gu} zG=Q`l3-7{CteHxpjkZr?HkKn_Xl70}!7gl?!Fjd6xD<+BAH@c*7QRD_DBQKm(Gg+*HWOYdbdB$r9MJx9yE_+?a-C6oJ0n=^&YV3DsO^s9-3 zJXwqfSBL${28|34Was^i8MH>@27fmbfbFJ+i+qy0R%Aufzq?uPmft!QT0&Nm8Bdu& zpnOnv;_AMY5Rlg)nG_4l_+R*X`9!I#!}(I8u(I)983B&SC+qN^Y9yz^&{VUK-Rnp zPvRP4Dg4yNY{C`rkGbX6Q%j*$n#vi{ySf?|6S80Hsj3-8*qd8&fkcx1YDPmpkA%lx zV&{{>dGE$*d7NADEOiE4585FEuW8303k!LI7m=rXnJil9;_kaR6OKxq%nn?8ihi3G zzqVe1FaCh(IYc|z2vilaRW?m4$)(=GTzCqfH55Usb2&rP)vJA&1d*-gCA*I#1FWQw zxaAjlna)_zX;oHF7{ba>_62YXj@+WjlQ7JGy3j6E6j|#bx3Wii>_+@Pp_zTJPK^7WUI zvOk;V01uXtzlU4GWN&a7|65oaF$BjrIqv5p?DWh8`3Wbv7Dy&ZsLgIv2)?hhQ4vp219do$7cwlyvug7m-t+Y|MABy|Q@51M|VOam0J@v&7H|p(doK1G> z%4qZ2Z=^Sv;3pitQ{12ZVvM?wnYFnu-CQl2M91u{gYrUW_Ou(jbA04}E4HU*qQPi) zd++~9i*|ot9k{}^D7@@pfSnyjYl8{?TU7>1u)*!C0%6Z#r_&5Kce8U4Z)eVyyG-uy ze|b;gaiImy@Y;snPel`%PNX7>#hF z()@Cl_PLF`s-N!7aG)|YRfr$qBtStDE9McZA;p!n!nbcYLQ;x{gc@WL4C5aN2d{Cw zWI#@>&$kyJ*Iw?0(B2f1>JZeD;oQS0NUW4ih6Sf|>ch(}C1<7z!_FAzG23Y|*G(HM zsm(VZ-nu&HI%cjl)At6yRI8esR%zA?Y3Ivc0fFWNY5CoT)cv-ml@SVM;_J2(=3Tmkd%W=2+ut`IULD(PR7Yxbcto?=FqE&>O|nvkQ(S zkNw;%ZYvZH)z`Ek0^K9N`_k0yXf{pSkiYzbbq_ zN*QwHe)QLP)ak0G2J*gCXDt{8uH>bOJ%nWPDV3F{zIQ&Tj&Mqy*~k#nB{0bkug{)O z3P=vg3PC~xPiKYW#lve^3tc9|w#IWsvpD~NL?G{u0q7LXWCnXzO*zR{gJKnWjSz<7_G1&1X%oY7;>g({!b^gMcBzncp}1B z56shjfE$G12%7b$(e=|EnBoT=hSqM7V7?19_(sqQa^j&$9sQidLYp902Mp# zuXQC3uugnx#`yMmt}_gt#97wB;%OHjN%*XM?{zQ;L4Y z;R{?i4>{3oHx~G=koslQ1*1LEv0o8zA*A@uZhRJya-Cq4C~uI%sG?Y{8sDd@+L9@J zbx`6eh=T^(xlzTl_r4kyx~SqTm;g5VVxIW3%dq6#G{q=caKuCr;nk#*ft$;bwBZ}6 z#k(IGSpn*?C6|{uS4_X1UwwC1sQY<@!w#&>VuLEkN}w6#@9F$&#~i-#EyAfb#*XGP z&73Ee|31|V|LgdVyta5Pf>Vnt()vov`0Y+E+ahG!kBf`5#s{jaM749Q(Y=VD{aW=| z-31A`YS^6bcwtHSmYAkbdeterm(vlg)Jt~3J(4=SgxKW+bIEE)pUFY83XxGbz^bX3 z4)j&Z=xj2*`+N5~z{^IKV zLyA<3rJ!wH_cHm|0tK`Xb@7ynMoyd?z|0Y99`$RUpJnn}b%%|T31GU1v#oa=el9(z zdH2I(VP%A0algD*C}QOPKV$dN@n`iWpuX2k)v>yZB0)+e8`c&+PGXeROQviQCHbX& zrJx~wne38>F0{Zqc|gM6Yw}i-{jw$}ai@yATX>~{t=-R5O$*c!ce;_i#c4rSibg0K ziIe2#db6HL7X6Z&54gX)a;}QCW;LFjx~s6&DyB)&RjO~e!~J=;tMQbpHD^tse64j? zap$b;P@YdUI)-FpYAxckj>EJv4|5*k|3Q3p@&XHf=fXI0pl>vzV|czfMuIvGZtV4C^>=rkGWCy))w-vs~vaP8sYE?Ry=_;08m zJWfN`CIucH%JoXmj*ghBI}&l5W#mpz+62$+$^J`pa3CH-SVoV~vwmbcOO0Iwea!HxOmln4S z{EhvPZxb4P!th^yikrjQs6o*u7O0>G)+*?q@zsv+R)Jh^c98r>Wnjlx?LhNCfUk?O;W`ufNJ3c1|8g?*9)0E>2M; z<2;(Cnm&dC@}|~{^4~u$7wAZXE8)s^K;NXQVdtStOH<~ii6TVaaY7w?DVy;Tmw}hm z)G(WQ2KQzy{~dAW%;YsG*}TE|>$u>Ej9BIuC-3!bab+~wh;26n+x;x@*wnn0O)J(H zf5DK4kdEuvDXTG=;TEK)U( zT=rsL&BW0tWKO?d!>;l= z#6@Wif|;f>3I~c0zyXu>E6}J7bbQAg%#v`s=ZcmUkOuyCQAU+oWm6T$%~`IFsH?HI zil&Z??Hda2r<=OF*c|LHc}akEU!Y1JU$N#6Lb|wXJ)-teEku&mNr>0x2|;%HMrW05 z=cm5apF+?oUW_<57l3V5X|O;YzP|rezfC#|5qNMz)Rg?728X^7v}*z?%lr=xm|z@& zEf_pV`3=F2x9o@I$qV1cB7)|}yPwYC=wCjgIU$T8o;}i6b zwp{q=%JteJjV8j8dC!>sev>r1u}K3k+2s^x18HNy_x5wiT5;aqA_s9j_9&x@;?nVx zKipri#l8^u{I(P2Mbp;+KlwAJ!#j-mB+-PB+5VnY-)klqDt;@5U#R&1(8+CxQ^v#m;(U$)LF{C02Q}Ew;r`szC#TZqBNT8t#d%%* z_Zp{FOu=7?l_(`<-a+rNRZ$wW#3=_b z2HR`z&GRP7{N`Ap3HbwKuyBVJhjelyxfX-;SsJ6kWW~308Vuj)iNbX)lT6AQf>p2! z#vDYA%ncSxk3zO;v{a|Sg62#L5I@!Jf`~kDceSMjz9H`PoY)9DTThWuZazgR8hkm+ z>yeNuf2;P2y|Oycx6!Y?h+ctax5dtJ(+88X<&q^<^kJEKQyhTo69NpV23*H4;@VJ1 zOR-*JviFu^g1p=nBqZAFTx@^+f3$fv^y`lSjzjYCAK7)4Wu}ChK1h+^+M=rf7a6+$ zB~qsUD^jfP90uS(b%NO7M`A+G#3SXvPTu5-Mt$i9VQ4&%?3ZZVp~2Y*6-PD3U?G*k zemR>DgN!157fCN!b<3n%J^rcqt3{(Nsb-|^C`t=W_tu+lriAVx1o2H4{V?eOUc?b- zSNWY`RG3dQDvP3;uT!4jtq-ql4F^iD{EJSrQm0pYG2Q?8Ql;4V%NBu4^+t=9Kgx((pMvAInDZNgvci>(&x4auo3a!`NydD| ztUPqMsic{8pMr}=Wcts(N zN=XW=fYyBVOKj-Amh(>}AhC8hbLMiXIc?|ITRtYuSvqSjn|f$49Zy)eh*v#A=3$A8 zSRsS?|M2yeVOe$E+AxTOQc5b_-CcroceivmNV8PByF)=5F1l0c?(S}oZtz{`eLv6s zzGENTe@Zx4TyxAb#yKLsM_ZTN=7&+-$|~dLVE$hx6;trV17fL?T~~}lvPa088qJ*A zlM;~Elbo-^{hkdyZ`t1({$=yy2lgC7R9p0)L+6%_%hJr3CZ;#As>vwB(r`>*Emo>cs~pXzNA?u zHPGPK2lEMcEvD7$6a4=U++ROD0e8$g%Pw2xYfh(!&hCGE)pcM%P!2ZUNV}l0tgEF> zv4V%7C7bK@qvN#)yTY>uaLfA=i()4L?s)ha?BW5;hxRc8*4P}0Lz9cOeEiHL$-?W} z+KP>o#!>IcuQKOVZe2T8jB{YlDE7l_0OB?2Jvtk9{jVUI5=+z% z-FV?FOR)fnc&IA6S*>ZCjmz^`p0v0fN)`N`E`pPZ{Cf7y!Uf!#aZbBMuYA?Lh(amc zQy6lTYB@xi3Fodi8b@$aR5G9L?dqNh zZ4cJAj7IFd88u|g9Im%!@34?`6q=01%7P)6BZPJDiyHcwt<$WfGP*!1e^G#x+Tht) zl~X-~c7jD^MkAHKO&hUMD%XSoSbIo^gzk3hy6-PgBl4v3`NiM9(|cQS9n>gGZ%r}R z!1mj%r(L;dtM;oe+o6y*)QnF1ms1-qI2kuQLTz3Gllb%U5=;WnPV#3}1?hF);?uJ&yjN#>gb0dz9iId^tp@@$-}N)NSQ9*-wbX}LjV&H535&gI_<&dJGG zn6*iZoN(xgXlE8DjnI!O-I%B+ooDX6Y$qYvLHsS4KtE5Lqt6;1`f`ne*sXpb zoMB?wQ2BQow1Qnd1XM0|WkOU#?y+PPW3k>kcyRj_Cxs8^0Pw|FgtwY+ns5@fq)K}; z%(p4oUogB?DE!G|6|wSi;xBEYF7@(2Rd8=vChwVyHyZ%K$yf~cbm-|W+a{x=Ps}*{ zUQVIEBWzSLKpGiYX%(Y}ml7K$Fsdz`--lhOk#1m7(|BLw-EAWEk%tZ<3Nr(pJXQa$ zcHb|LF@OuQB*b%%qvM08_9a=w1%7-~Fm^jYSB2F0OwGoIdlH}Q^IN87saviP6Pabv z$~PakibS-~*`+BuI$kbg&-Z}0z@2nnt9QQ@OXGxCsFJ8{F_$}Opqs3$o-H6{Rf_1>aME-q%UQR(2&$?zolVCuI@Qg*e``%6Y!@_7b7-dI6N6~LPC zWOC6zoB!FRl(k5G%X?{i%9OZz!4Fe;=1Pepg%pJ>WCp8RCJ<~Tb7`)RYCJ)QoIThR zb0xx&E_rl2)~8HrYkm44?Am!))LW*3#-f7a}ytri(~@{O6&(Ou-D8{P|+@R)}EX0 (qq{8Kizc-uL2B@nOc2lb zrf*Riw+pg5qnTW^YdYpvb?6L(moA&~gC4R$1<Zi9ST{T3vgW!k4+?|pSk(;fSCsbx4LhR6WBQ~wUiesy8ef={ z<;2qo6YE)PJ%&~JO6(u5`W8bEdAW0Te>{`NTapX5~-cumcr_J zsWkej$^4L6Cq|Kv{qdWi)Y$+pHmq$cCP#x5c4FRA+)+t4`dNT4?M?R4i4Vx}or{-{krS>wqtZ+t{BsB6YYv5&#=wj}NWN|x&3_@1~ z-3B^*?!-RDG|th{3uRgXs0h=|J(w3Dr2*RQKsM1EoA4AKZth?0({rjJ^oF2fQIrk zgj`=g9@)FOmhzCtQBReWFm27|37m`m5l|yIJ_aMJInb3Y%G4tSrhRL-FX3ajOd# z3Mo}ScIpAR4QdOTE~I~s-qqH}kYv{Rf;BT7lklgvZv<;-tJgX>bgl8RNd*n7LDUk;+4Y%H^yT!!Q4-l zZ)^+^b+mQii?w@VBDk8azoBbHTnz)$)Pu-gtZJ0?k_cvCwF?#oj2H?i3EjL>xfzQ( z1AP)CTXkv|@?OlMm(G}7yxcuP3Q+NNnR@e7)f_LLEwXKgC73d(v9X2y5A*|a^Y98< z#oV;I391pd=C{mzjk&qZ0qfS@9mP#M-~NIh--UuKIiT_Td6}pUA@j`>PZ8P{g36lO z-`|^^t`V;>tAisfyNSqibHHJ_3zIe!+$r8blkv`ux)CI4+20!|H;F-yBx1+M?O0h| zB|x;@=clxO31pZu%qhCQ3Y(80CRr{^F#5%rh7dDl@mE* z`a#VRc;fmPo(Y*Kr`$IqTP5&x9R2{}&zNQb>gh3h)n?zse5Z0-ThDT??i$sq#HT`BZ}OER@2n_? zQjep!b64-Oc8UaIy#FiJD0)lkBG8hDf8AT`a5k^BbXz5y%y|aortyyj?1v5~@*Okj`(Q8`0cv`{4K#fdnb_?5eZO{7 z042_J)OcE`&hBvG-fRvP0x%*e@qQBqhL0+ChzA$O=K=o@*>mw|agVzF)u(>C^oJ_z z$JDmg%@z4ShMqX`V`I_g%fh5oxE zT7e#LglefXy8SSE&)F<6=!Tm39c3|lI1_dkCX7o!SqQ2- zl2IOY2oLZ0N%RF&mw$dveT^Y{r#J%flGeb6TJu0|DyV%BP=i}slLDIeO8o+|4fBf5 zT@Cd5^9iF^w>J@MvI7VDyz`&do)Jq;yb9PgmtmD__$AtFD7hd03zVA%P;PAQf`e=l zvS-TMsv;j%y?^7Vl6}pq9zNg8!qJdhDK)7p`C-aN*P?12GJtyQ;b{d<|CW&^C zKS_)-ab%zH1I*01i8u@t0XBGM9{=ePVecf>!@D}Sq7Okcjes%R-dH1TVP|lQp+Nky zr+*_wI?2SF!~gUy(D0)%dq7fKmUf&+3)MoAfJwvF8KGAFJn^(|g~3)0Icx3lri3y@ z<0a}@5X*k)GUz9+O1#GexCwHFL0-A(A8=SX_`}+`!m2!JOZ(&Wsih-ckBO0UAyg$C+FxY<-Zo(9%$0v24eeAe zc8YAC8zl|jCzT^LFZKJa%57p}E?+E=(+cv%w+Ez)@1Mw-Ov8_RZoDA0EUa%ETvW3z z8X0pDZCI?#kZKQ^9v=E~Dk?xk*k0%C@L6k)4IdYs=<~oq2HV{SoOFXsTMaf0TCS&D zKCIPF_}_BMW49i_-&;q2Bi3t?l)V09Hd=pk7cS)R(Ci45OSe)+7(u&~TfUGZdZM}Y zLCk*eG=Y^v1GWHV348c$W`32{GTFQ2xBVi`rKa+Etv0KN+s6Y3UoBwv_6Bg2THMbI z{mj`?Xl+cSDp7OiHMOG^c)xmGI|hQEoiZ?T^GAfd{UaXqOmVXGQhMZ@o648**oVAu zP*7o?K|=Z4b<(rWZlcrLS1gENll%|5bueM;Eg$6r2tw0hgW zzEM2mE(lk=SsRajQyaicMO|Gr$>#$Kn+Q(PnvTjR?xw{X5_(k3 z?gI`~>x>xl|3AuQlTzg!@qX8M=GGKj(W%Xh+;Wr4+3I9BaXN-=J9wV^Ua~L){`G5c z$`I1`owLpVct>A7c}I86DrW*f#-*Dg$bP0mGz*BQ{~Ap_?Nu+N-O2ApiI1M+k3L`VP^@msj9 zkjQoHEMHPN&8URREGH=H74=Y>l)Hm^es2Ti(q$$a3;G%nKB>)nu@@I~-O=}x_NGZc zD}n5#iV<12kaJ_w#m3^(R{^Ir?u$KZq$xzzWx`Te)%D#J$=}uD2IYS)j2hoEF-_y- z#@YE+(IijjJ<#kL>C*Cn+I{ds7pmSL(v6o%$fYlC=J+`z%M*nwHzew(5{sJeLsbb#F&f zPwg()f+E0yK%M>S%*D#$(+Fkc|CerY`5$ykJBpj=A~voh`to9Js{Aj1B7L%phT2om zRn>W{J4wl&qqvnNuvnxwt1EWl;{C!%!zsVVRe8C_64xogciuBc};$v+J z$8$GMv%%@@___ljSJ^~VEgmXko7eT3_xWk~FU2C5^hB{VX1BtUuBmt+QUlC&_guwz zJZO{n@5SL|GjKmDhDQx*S66SUxJErU{c%pSyH1tB?2SEWIFnq8CObjbJ5qS6spuGE zdSu?IwXhc4T7jCf9XQmyFoLt+uUEQs?5mpOlE4@uQ&YgGhXNrwI6Q7yZY$!a+8YRYv; z;nn|Mj3m2@MjVj-+N>V*d5%J~mSG`}Sm{zoROQW7VQS8dx5FRqhUO1< zv$aOl3vxG$|8O_qnqEv7ai`>d&gPhKcfiwg@h6QCK6EvlpY3rF@0zFhm$!MkfOuky zYRqyS!kRQQJu8UdU7e#}p+y6h(n?Vyn6rSvMvGMBi{5wP&sVpPT~*&3X~27P&Tc~= zpRy_Wg{$l|zA=#_OuN0jl0|)7Hmi2PWqr6S!u&3GKt|bGTDV%(76?4MPe&z1@Z+vC z{tZ44%;X~OcGiYdhTy_+_w!=WG30i;aZ^Fs{6 zF@=!VEOf#FKd(|>w5!PPZBVcbR5v8df!l-9B-gtty~$4+i`o)5(yyy00aF^6qMg(k zkneNQw(hAWzo^USs(3?RsDeDx?098(CnsmYQ~b5`G{jDGuS;!$H%lGS6qPS1v+1!2 z)Oja0GBF#OJh^*pDo=BsboC%?%!MCs0LmCZ9*28=KOhU$msW zOMk5Kzg|q775EwPgSk8NBGKR2+7mZ3<9o!w9!DthrbuRCbHYqT$fO@e8;=#OvgLNA zyuN;Hqs$Ay?SaY{`+RRpsJZodg4ZtfY9h`yftS9b++ye$hnIDDsEZn>UO^DMclSj! zPt}jgVfDA)F+YU9oTrfP>xyjsLW^}(?VtIj&1=Sn2o#-3(*(q!IIOnTxHofW2gR&+ z3O`WuFKF*k@DjMVry(r9Lv(#pXK1)+FB-VRVf3OC_z%591bp~5m;t%w+P~^Qa>am( z*bp`3h_r7YxeTRkHb2;!TK-9N_+-d{8+{TPJCIX5hAbo}|1 zq}8O#f`()bP*9P6pV!uByhcMJms|MiozdX&|Kn*HG#~;NMSt~cgI3^&VOuAtAKyhm zGuB})R*c;Mdro~l-9OmoI-yFmbS56XE0DR%&0g=n_+}baRLB7tppd`;l`2~JbaO01 z+xv;yrV$Hsh#dI4nm4{l?yy~ukxQ?;R*o;r&4L}K#cSV@^S4+;70t|e_<;(7A9b&) z*{0R3tFL#49LfC9?dW|Ri`J99l)?3og4u9!h*DY9`qxvf$vGkk~&YsRy&wrN5zcCn(9Ngp6TLYXVl+9sa@~!`KZZBEP_Sdl{7?-p!Oe_bJ+hc z%mMzzIYtfZMG!uV<`bv9(`q*9S3}k@d9`0dXS(XW)Snje8I#bIgOHYgAT-?ZC3`$% z!!4odhx?PaCUGh@?}4xYL6AR^4Fq2=1VUB}|%>sLHQ=@y^H5~TO9&_2K<-J9gWKwU=C z@!w*UWneGx#f)M7wloP-Pkx;i36_-Wsbdt$*iP|_Qm-7vl3Jb|{*3qQSbShFIapS- zIK9KQ8+25QL<20#^^=O0OUI4A5=}cxcLvfz&U`>lhSl13nqd^Mt=`<6f%?ATN&5p}+Ok z3GVLh4AWymguamA?X)xY?PmL@>`AqbQ~I*af39TM{c$KTSzKdoEylv@fjzZ?>~sh) zS*f+?zuT?J=SY0qoR)WwctmqWyC_2p_RiMM!y)QVf`K0rykwqmh#!@ut8|2N9(9OvPiy6VfgDB!XJV?M?B{}*RZ^Lp%2328_cNtI31ib519)qc4`ugv|UYt{79B`KATr`MSZhqMG+x`1Z{I>|H_&I6YrA zra<2el*B&@GiY&pe$X8Tm5cxqDIfe!)`Wi}Wz2b%2%;?q1=8{lVbY|6Ilxpsbk&)s zP*6w}4~&E#NdHETym`LA{0JS(9QGb4*Lq3}bHA6r{t9M=waz8{`o-|Aee&Sw8E8yj zGZ=6YQu#M3tO$>D|D}TX#j5<#zxZe2&C%dEUt^<;TlAgbr%K4(KP`0IB~Q{bH5ezN zy6yWd?G{WCIVn@%otvGmY(!*oOjT;rs??LHpeX%T?=*Osr81s+LjSt zO994)Qgo8zx_(oaguYMHUsxv8D5tBt>M^;74z}s|Im)*`@=Zj@X{dMqcuXUS28n%c zVlw>-Q5zqPN`nHTYtVLd{Js`rUnY+5D*r@ie%J061Rw<-U&wfu#VI#XkB&YXbi&)T zYKC>PNo!byo#NEumU85O_2xUP{Q)nC1rR=bY)fFonM86&IwWwJ-GQz;Pw76?tS0~6 zM?1KnsMTL3^K)dGIbyOj!V+-A=5#s7x|UG1gwGy0K}KP~KvfAswzMdrmd$4_8`Ps4 zopo})hzoHtD|;VNUe(Paw(u=CjZJTsj07@gr@-wWu1?vn%~@;$@odWQ-H+&a59}Zr z)v0;-{vJpDs+~S&^()f1|cj zO+g2X-@6MYaU4#ew6@!6L;3!4>oHCCphB(AwAfq>KJS33i(;N{+micx%$wXoq^abt z@U;AORM6BO8zqw-aUK~| zd$nO$8Sxr74x<>Bh`IL#E2w^8-r@?JICjjbu0>la%zT_7cU#88UIJT=YV69v=w#np zLV_yVRKoy$K5w{b%)1m|^sK{W-_1(-XCD3F5sIc41})ow9IR%t7PIoNiR*GCBM6Un z^$cMGM|$BcZ9{ARYOlPqnyYK2wYHZ^f4_RIFGx;Rxw4w1y(`4_sKBY-+#Pi7ihCV7 zZy4X$NwalxI<2+GEE-M-euhRd4j{D4i(fGBKd(KUm+P~g%gg~%3=_SzIWO!`td&DH zgXnt<#-k4o9Hy>?a%9S5(qfL!-|Dzth%oNB_cgdQVOsypi_E=#MXbluh+v;jJkQIH zlb$x(i;8r~DVs)`y;%&wO`2IeEZ02|#FE=C^mO4(>V#(vts1`ho^p{N+!QEl*Rr2? zy;Nn!T;8{MDzy$2VIW>xRTJc7P)c2~#{IdMh}!ve+GSq^h?GaJ|GAgeR>FVpWq%Rx zgY1_|adlcVFSng;O5$89`L91+id4-m_#75_9u=_(nYa4X`oF@nju$K1NTKF{BSQw! z@|Rh1riago#cKqlNs~o=Zr=oUx`dv=O#$h1i-$k$l#`PN1mekP>&1n%C6nX4kb=ht787aSNKq|W zABz^Hnhzek|I=-*5EJZ8o?rPPL{^6Elyd?Jw^j}|LGZ*W@*Hz)#Wu=Xj$NP425Kz{ zWFQAAtGks|M+d=rqi5L`Qk5KfyFy<9$uL2RILYcmCVBH?rot^GQ>QzsXfp>uL zv9{pFsj>~Em7$LpCgT3-Z9XmHbAq3*meSaY&escq57y-3aM&|!93B5taj=wn`4hwU zWN@R@DLMb9eVJJJoB-#_aD29*@ka?oGu!=)?g|)RAu|(dDKr68z1)edI(a8E; z_p~fbf-n8f6i17I@reULw!=@D)qCz|WtyzmSvX7`=`T6hK1gUtV7wG}x}dzZ=51E` zZ<{#l!M*k_n>HrlEoa_({Uy40OS&HQdZ+k8PoYb|AkA38*KhyLj9JD0@A!|8f5(5|{4T(9-JS(gYj_9QDkF#=v8aMkRmv`<5kO_ zIU)40KNmTdD#^*8J1I9xKU64x&-h{dPQxS9V&0&A+fuUt$Q?`@HZd!80aNLkV zU&nRqQ9#D}f@{s1QM+&DYo{eX!oTH@?{+2Z{`57820(8Y(L z42tO#zoP-YXMq$dq66=tHl+k=v~vB1>;*1BhECz(Sitq>2bZPu-fwp&tSj7)0%AJc9zg6Nw_NKkvE9cp_*_5OzUK@O(T6U zRVZK@K$W>0Zf?>zGBSK(D9lWevzMFmY+Jp%sMg1BZ09TvKK>SbewJhgTXDa92&(#b z)RnQlYp|%^cspNkRXD>T8t>=4OJLsDlUR?JB^fJxfOAP2bZ2!As4jMioOZHbjDCZV zZh$mx`Ap9%%A6wlfnX-W#AkBB^pz?dN{}EByG1csnN_UM6?UuXHF08%G#y)dv0g$o z;McK@g}kK2o4uHe@o~}{)?rDe(w>)9gK31$=6LNzc%*C? zAFABR>-d5Gbm||sGTq%~^SMTL?vRgSsa4q@5O(7%JW@O@-2G7X=3f_R4a9fE@SBFM-24l=W25O7J-(HuKWvoF%U{RCqBi z_@-aiDiGAPLQ!|c3G{jwA4)r3v+&n)%OcrulV$h!-JIN~Mj`swPAYGGloP`wtWFpB zaV?jeCV?-{PRsp>yZA627pKDGqk@axNqY_=9g(1XN zQdx`r#SnomB<*BXP&1y|RHQL)c%{KmpVcFy&KBR!hUR)gF2<^CO?WmMf}Jm2QkuO{ zWpn;9jL_nDA^oqfx0NW4^ng-D^#WtqW=Xa1jLjSeLy6Oteg@I0HZAN(8Ap*DleW*# zn7#|Oya{nP?q-{agwi3uhyHHzXJNlo`ph^iYE&`gnfL33{IvZuF7+(;4A9kGJHSN1 z2G|*>5*THolA~Wjj0VLLWrGbbjm?$4>v)o{u4PmUU_$bF0tAxg)qw|>dynkiSB2=b zOCgURjS*Z<@vlyZ@PcTm6G&@_0^P^r)WF)>t%;2o&(bO7DAhJ@X2005PkPR0vdE*? z4fWc~Lx+Rlev`Br+0uWIa?>jguOiW`d#1Eoz3D+2n5FmJx-?<&FJGws81yt#rbFuY z>GNn^VIYIXTGVI;z+kby>q#H`u4Cs?=%)P4=~@%KL|w1rZ70|_%LN4bsEB8AB(kgM zy2Nb|A$cdpbA?pOCg0G$x{O0s_4Z}|GL7HmKREVj6v_tXIY{!JUA7LipSHGmJrz03dEq(IO z32Yys_Cto>?{rj0vjicYg5@zu-0eI!*zn@p=n{9S1IE_{xAce25B(>Z0?DQP*{>Lf ztut+pO3Fh}O_i|Zp=kw2R>t0bopt;2mJb$*m+a-Aa8F6Q@~k~OyrY8a7G$?wWBR?Kg_?D3x1{< zPkn1eEx*T z4a3MEqBU`?k2Ew=D2x2~fMI`(wmk~m zDxU3$!zEbsTv4R8tGaoDb6;&ueVQ0wKy;;wt*rUJmEw`E?!5twWZLAExn|PmA}1N7 zUIf z;R^7ggC_5ao`+9}Mo%H39~dsuDHhP>g3CNFV+#HpTK+w=WV*G-{>txM@*FxT(M9Z1mL{^_7 z98fAR>i_&*(~nuMcp_+VEOX+tndzqF(zprYb>;t~4ep=mKY-84$;09*7=EKlS%(jP z|H;mPOEdgEXc|K;Z7q_64bQx!a-0Yfd}d~x)8<(R3n8^rGfOZQ>J0{Y!}B=e1) z+&%#@@lv?lellO7B;CM}&y$*@wa3B14PKwrhNqjn5Z(8@hiWscOShnz{BT|gMb zif?bmn1`43x$%r`v$X9I3lW6y*Ic+T89a0N>cGA@(-%7ax!Pthv^)OWsy=k$J`4v- zfDaGMMQu1+B9E2Tt|T_0f!f4pt?Lk@5E80KN2}9LRY7dYhnNm^`SfOft!MO#L#UY3 zoM=b4iIK%M7QIUd7FjH!&QfQiB_Fm(*176aaiyNC;x1Pl))mN>kIo$;WDg6hiu8F!auEejZz7f0KmVKkP;R%;H;v6Ci zwp2vR{IQl9wI=*LoH)d^w+_2eA}aqe`vf?Y@UD8!uhey=3QpGx;}K0Jx!uuxH^ylg zD40?o_DxD;+`(znVB!}hA!+W%HYNPeQai&e$$0Es_&-$frY31_1lajIfumoLowZZ$tOZ>Fa5uW7YENG1)Yurr1O z`pB%gHeL)5&}MIfEe(zG1V+baE0NrwG)^*6Vz`z*uiPE5;u3VcJ*|q5a~XL>cSMR| zX;VTOVtQf#O>i4b(x*WJ#NB2?#Y4<}giji-`^As+9YRg(Q&l-=8m515EJir`0K z31moi%Nz#e@H~Sp5sTeLLYX*`0&?X6L{#YuQv5UvN0%fZ*tdZa~)(v~`Edo-)rKoB>5iYDn3Oonw2H=z45d2;47~JInER zFf{4#GPU1gh6EB>D8|dEywdKcDn>)v4Atg4i`^%$8ybor3)P%qhgn$z?B6P_-j=;H zYV|VL*Lq`wH4KG_^F?jA{EZ?I-HgNL!WWK_Xs9Y<6GeP6ine2;8IjXtwSC_ic&uo) zjT@NU-&@Gz(^j^rBVcODNryd=50Q)WwHkmg^s0U}p64G}G@vr`+}Uj=uxBZ>QO(!Y zGQCJ2561FoeI-ihj>JY4;c>`AeYLe}898hKw$+yE`u?7loY4-KJ+O(`rvt-->W4M+ z^3j!_D#@{hXnXRjWIEA!+3src7DEQ}ri&JHr??P(1GrGAcRhC%K5?aQlnCF2wc4C` zU*IWwX{edxejudAwg(pZ7IchX)-)b7AEngjH`|H(b8-3Rs)?0wZ8P*RlPI82{My)% zZrC*YDCD2P!$)TV2V5zQI$Ar;dOA#72Fc;*Z9yH#dMF=+-$0LXfX`;Kq}f2m+AU%|z8=mw_fb^f%i&6=crd1A`761I%qW||kQ$-X z7B$m^X!@RfvzF_XhLbWYS>32p2n02Jb#z!bt@EmyRoe@YAdPx(?}xKs&?vvcBE{m!2wq3Lx?td14~@-d z2C3!1(4p_8nJBiX)*IguCXSX z0X&;K-BKeYD@|QFyph>zq!|KDuTS`yw#sD#kfhM%wa-!Zo1!k#2NsM_pTHFBKM8CL zA@v*6ytfptjo6nNm(QS*)`Hf2AJRH;D!Qsc|4hg{bKObEWt4t#@Yp-ZOpN?ni`SB`W9^%ghYa}6H2L1$2OrWb8^q+WhI_~u%vv>qPH>( zQW8IO3=_+K-)imdw)*~!7Eb0l-v7)K0rq#+*1@TkryRq;ijzTmVMSR+!sDQD26lc`K$)lqCYVV!iNhW$8eM z8O}D!{n%d7VROW2&z>S}HodDpOx&{nl^-tly zqRKpJ00v%%HRNk8I{KCStKxH62XnuBw|E~ceCms5U@t_?2osE2Vpae7IRS3*wL&?0 zyJ52kdz(3h!Q0ov@&$wyY|&cvq!!uwUiqf!@2b__YvvN#$Lr)?=YQNe^qMcmCbWIy zMuE>-jhnECpkhg|Z9C~}5j+KC&4E=fvWZniyPmbU>_yKBbTtp|E49ea=j#kTFJ4A% z*m`_{>?58ZOl^k~XFsEB39QD$95)U%QE1(r4ybOtq;^M2(-qo~=ojyb{fH z*&i4|hG-OqYer-ILMxq+I9^aqxF#YmdQGV~qyU*Eo8J-gDPyMMH@g$?xF&p^UwIbZ zKCro<_n##qT7tq!-%s`}7}XZ7pk2~XROP3l46cqo89$4R{`b~vih#%CDJ5TUZO#W% z<}d+x%oYRpeX$7+&Y+76Iq6GYs(`~B3hbu0KvbdY!uw~D$Y43y;oI2v6Uuv(T-`H} zd#WeE76Szxrc;%nq?n(UGrRFVlt^V1^7l!uct?^PXTP7E~THN(8KWjY>Q z&g`9{sb`Mby6dbsk&K5R@Ma?ueLa}l3$Wax@Bw%-OT7hOo%@Fm{9e9uantBSI?5sG zP9zxjP%OuGE!E3D5ln7W-y-O2XnEgl8xG{oN0Cy5Cu1TGE(mpv{?xOQ84k!x<#mwZ zN5{=rcjH!skJ(JGaS-Cd;9~&eYDnU>iWihMM%>M9c2#P3twe3#&I+Y@Y=xqh;G*76 zO~6tw&)p`WNqk+f-KZMCA{;}oZY?*FXUAp~YeQ}u#Qf&0seM+54Ojj3aZ1RY-5l8C ztElFa!T&7Qlpy5vZ7RHb3~)CzmDI68oQ~miGWC)HRwMay&eB?K6tFsZ$cf+$f`uVt@j~vZ6jxItBcZs?@4LLjOtq)x{g!_aNsQ7?T&`bI zl#~(a@H1BRsmqJ2%LJlOe5dy%+Zrcdd8J6B z({g7%AtjV$+g*YXdM~?@W%JqECD3ds?S0WmdJzYG|5gW0&r4ygKRj%h-!zhQnvt7! zsSkpK5Y4nBReAja?9)#>7Xsl~tXudL^kb!b1PU~?ex+U{!!v08*zjn%SAQbAvfKO0 zy8br%#_mT`u;%c2!-l=bi-CZV$(7V2sl8TX0eXP~{`}$8aJO^Icpl1NKjgQD~u(=T2&5Skp)Cd}dMr zrI||UE2!BG875d&9zLhy|55Ge)Y&~e9hg|UT)b@vzII{tegp#qd!N4k`~*(O&gLUC zUON5f8IcT##%mVkv~h4Mw~OfdP>>qci0nDhcXm7Iq}ouvKY=d6)bq-IE;ub?ji_%- zdQfB_NlTVlbqnEfhEr6v-YEsz_s~d;u{wQ{Fi8l$aVFfrI7iBC(7QK30JcrEwN zX3@)L!eF9;{d|sZopz_e z7!xTzEo$RfjmNZhaGbp_GfM!1)})S_8me>OF^sTyIf0#vrnEVcAD`E z3tQ#>LdYSV?6%h+Le2Tc-en2qlp`J&RpNKw{hQcpC(~7=w`Hm@#+QZ+PEW&|2JJn@ z^SDJBTn#|1YadcKO2XkN1mx8dZQr&ClEJ^U5d z`BSl_Y`F#||4wAu?+iRDEer`yd$npP!z=-C@_Sp;7iD*`1x6GMChm)&)eMoBX!PeP z?X4GY?&jViFVc~^waZk_fTQvni8{uY=NZKbCAo9-erNbMKSGZq@5mcv84BnJMjOzh zWwAY9A+y~{M8)~8#b4XZ@;Ms}0psN>8S;FOL705&FLswmxoI6J->lmE34%kkuj&N! zs;3N{dV{NDo3J598HQ)Yu0%77MfinO!K9Z@)@A=^S+qzy#r~Yx{6kt9wuP{41k94Umjk=>xv9-+i#Wqu*saoy%3Kf{bwU$hsD0}Ox zl%f|&g+I-w8&s`4)APoI3jR9^&_C{J(gxF;Ex?3rzBw@3#($wby&nf=$y?X=gOyRZ$cEA@%rc5!ttu#70bth(U&>_OQ!`h^)-J-; z&**%!Izn9i?k@76|2%r4Tf2WeJl)(PB+TYHDeAT93z1FtkeQ*HcperYghnw>L=)@s zc3Orr8zAb*{9VFyNFw9@u%*k20Y&KqPJJOs)sh{@xT>$N7ozKQ4PW^+(JzPr%$x8iSY8Dys6dyY#T%Tp%|+(FI&O>W)miR;7!cMnCr_ z`l_`^bgf!U(ki|(;{<+DYZGmj4;Gp#DQ(Zbg}c%@#tKb8c+p|yYb#Lw`so$zitU`zgiIQJCB*l^@ZihI!fdBFFle^&rU z7j?(>@(}&gZuLGk>h*I#vr!gk25^33M&#)qT@-aAghWaU{rq?(3l@mOL#enD6e!;} z34C*|dEEF1>9{ofeI}Z7{_QCVo~NtohxNaYvk3Z!OKn$%^y+1W6S$imboe63OK-~L2MDymwd9$JCAHesPMklDrO76eAAGzN0eft8}m z>a6oQYiIXdi<}eB3@@jeS5;Ba(NO4;jAMPAf-SkP2&l~lAKurITK(plqBye%0!PwP zCN@&F>0szW5?;Z z#GrK^?5F{bMLF79a1szmU*t^MO=hwN6&eZy_-cu7@JLHZ8i=oHEWFGQ5u0t(VUKN{zIlwHj%q+B zJ0_M*GG~kdZ51pZ$bZU*vkI=!Z9ao6fS25PMgUj5mllvSG!kRK6nn0zQS_C-!qe;I z(N|Tw4n_@@g*0?X@6c3?Qrdb8(c5nw<0u_E*5iRT_oEB?U6%C#&PA!+OFmQZbru#O zNFsPy;C0{jw}Q}6w>(u4IqKFS@$NWG^lV|Au4)tI7(?B>u{3OEXOvg~_S{jXtHy^Xiwk%4D%w&QO$`2Bq4O|F0*vgrs!wq3$*rvY6GGo{$Rtd;q-S` zW0^`cCk18+4->I!od3Oq5FrzO^gj7?DXR&V8`>JnCp<(|qiOpy(2M)VNLXVg^vKg!-RERMD58U+#{xLdFgB)GdKxVyW%y9^8= zIKkb6ySuw=7Z%=A6oeOJ}0RcoomFus$)Wd+n?PhoV@4!TME zpM9Fp(V5n9!qNgk=0^Z}fjbstDI_ntI-@oXxx;TN4n>2@JVSXjbn>&@XNhr!=PM5GkxeS2nPHkn)Q~t`O z%F8npGwaxO#H^NhB*C>pa{#`s?uKbo$*Zu(IenDs2YD)QffCl=<{P-=6>^h4oIT?e z$Ey52{`@=N(odTFp^@~W=PN0U>3CnN8(J?RT3<0xiIrFDo`*YSNu_+-8~E3k$Px>w zJzo*|+U60IbaR=!Lp;zj<}*+|9;Pl8Ex|PN>OV2yXeiTJ)i|_OFKxzxenX@ON{}p2 zpJg9#vbU>5mkH_TZp{OmXsT#rg2tjHUVI>0=t_EK_!((C&*MJ&sV`ru(;P|1f}<4Q za?xK`4U_jOj);!e)r8sN5VGk-U+JYWW>nsk)Rnt>VW?Il?T|GUE{T!zD?++{kE1C6 z$SAKNnzxfh`u@L0iMhxS^iuO`?-=9PDcBA;+}Jftx(;vNlEbO}wl>9tv&^^m7-q~Gt z>1aUr0m>jkImk5Kz{MXLC%&!C7SEHE?9MDZ#sN^h0kp};X~5cG5A*@R;-uL+ObfHy zj<7{eSTXJ&&XdoTj^ETcPPs1=w`CIYD?Re3T0oX87)bqi&syKOjI##Hrp>EA+g8AP5 z>Q}Q|P+XfKGmd<;IukxV1n*8L&2Ww>H)G!U4WtZo#Q)-rb04}bacZ+xW0{fWE(~N} zVkVy5BsO`-ZAYX(!5zLF$B|*#s-lK-5kVLl0V(Um=HZ;+;5%Ig#BIS%4@kJ>+;oVc zuKkLQKA4h6%xjP?jQFlHSS^bFinmbDJu)oB zIVYkat~f*9lyDkYaopR4*G-?E$0WQyD71Y}M%~FwfO$V7A4)X)UM(~ii_jp5rG{-> zIxJ7Ds7o}D0psVblpKceJ%MKR7k-kBYF7$VI?P6XKs?B>lnTuM6C*+TQOHA5$L1%N zS82+eZf=fk@2?p`Mcycb5WE5=!5z4GD#~tTN-PE#v6$w*;ojgDWHxC!H3arrd~kiX z)%y-oCBg8DuQdwxSUb&eeSC?mW#$Nysmi1_U0IXRA|0*vOK*O6y8Q{TQxI|L$0~P z@83K(QG>CjmOMBIbOJ)G2;+Z>^{lJs$j|?9opL4Q&8`8z3o{^60-0(?U92UC6bSXG zi6`lhBQ4&PdN9N`vhvjl=I7YUPK_DikS_1i0A)HyT2!}XjW?m1Olw~Xx$QBOS?l{A zKA11RXPz)lKk?a1$=j)YI~u*JIp^=CS1z0zS^=N;roi4_Igl9feaikOn+gHO;R#G? zIhvt;1@vZX$dA5~r8cP$=F%N9D{|kr|g_IU9pz)!y>`# zHkRx6^yZ%2>TprrE$yk}={XXqbY7R_ zrKo;%*wmimAZ|urR06#=Zk|&Q4rAe|2mzEi`6C*r^;;Yfxib_0W3IT<`!jJiX0EYg zr_VV4`LoD(9x99}-MOhcWFggs*5usv!cR>r$H!SumX7l9PF<(zC=Ot+FB4&-e27Qo zIIq;}DVf4woagQGSkfIQ#9}=AJgZrd6)4R#KQ>+}HLavM7IpEI2|0N!NUklAi!-1} zO7Djy(LGHP)VQGP`kDf%s!|tOWoZ>%8aL{h`9#Ueh<~w`v`f}tXtM%3b=wkRu#4DL+ij8 z_@}>!Isc=4gt7NiX$A#vLj)T5vw6e4VV6fuFm;z7$qr}7wk2>3+>r|5RreR*U4(G| z@8fT;fcdxhY&l^tkO%xlJwHFZ=p80b{Ht;pe}Ct$JItSdu+BB_#fyjO!?|ETYxVOq zeMk+Yd)MzIk6foC#roMs;mxZbhDclH+&TAeLLOdlP)Q5FQ`@TjAfOK!2tc9yJfVHx z;E`)wb0sNT`eEw5XE(8Y7~nl2oS7b^cF$l(zzk9YKrI1oZ-XDgav&FFKShhV>7JJ1 z{^*MJhq!^ka#OemHKVouDzb$t8;fR)Z2?)ll@`!TUd6@_uNcrCt z;gH5F)n?%puNZpZ7m%<}N~Uy0;>ypxaEIG8@|p&gK6S`qW?OXJr#Kogt5*L4EOI&Q z!K}kEF<$90-VWz`e_ibrvW_c)mfCz8?AftN16r8>#(h)9fd-;qG?O|Yq8_^pJVzip z$G(rQOkKk593BiUVF-@mzosZeKjhn#j$Rr=F=M&`Hb+E+B^C22qVV3a)H_&--x0sN9!sDM=Fpm z1M=C<6OFuFaxG7=H5!o8Q?Ce&5=EpvLkcz5*7=hG@XFGKQ4S}ZT~9%Azg zy5AKnMojc1qy4?P_EyMsr3qUV_)lZZX*=9e4ir7E%s48DU+Ir&5bVGH#YjnfUNJFE zf1ilOu#ymqvLd4s{r)`?T-BShL||TkJkvY(uetBH!Z&2UnCX{3Wnnp_{6H(7NL$f| z(gH~)!el+Y8KwE1&6i)%VC~PZ#%av>9dJux>AHbSh_V^eOX<81C6rCWP9~71N>liM zjO|E~)TD*eRi7R`o$rOgCop{ZSr#&^sST0$W$Y`o-~DN)nlbYmQtf|G@S5CrewAZM zuwQT%;fbu0oYPZ%Wp4TwK@%5=`7^Dp?oX5y)HPf@TA=p5TpSE+;ja!XCYolTzSb$s z>Uuq4T|wNw)X(xQ)=ddhbFHbIl^Z!q!}JV^ zNWJK--&#;+FiyiRJ}8I0oa~n0Aa11Gw%@>v{beOBDZom+O#)h4Cs_H{$05Pa+Mf6> z88Z^T_1G$i;A)z)+%x@dJExqTFYt1G#Is?D1Z2N9b7lE$Hx~jgBXc-*_OqUAkt6DK0q-U4&&&MBQf?HVx zm_pP*rqM3i7e;0VYbSwnH5Pm`&@fFSuK0&q9eWLC@iZ$n_NNG z&&r=+@fO9_JN#F7UNTR%ewj68Se91_)qSumF1KZVDnFCD>JHG!?BD;isErhM7C z4}zLE<)4>C<)h`iC30+QZMzXssxg0xRYyeUx7e`{6}^{iH=4839KTRiE<~iMq^Z)j z>CODJU;d}xt!`n5lcYXZR&^B>>U4p?<|TaFp4tK7TZd|abEgV()j8{u@}kE$UG{l^ zrNJ$|))lE5eMcR~cKf)J`>?qXRBvi$^|c~J(ceMHkg@d}MKh)6CA53c?HIgci@M8* zDiVpnZw1(NoI|J#44~io(zt!8(BFXxzg+-*G5gqgfcbMV@V58&G|tC3qt{>{@(KwFMn5ZdUsrXVXhZ{_%Qg5D5K4ry#{<5?48n=h@^o?532Fz| z=?DE|n*vBK*PVYog$xO4cjf;T*!lfn!1&OS7?ljRcPNcGihHHP?wF z;!ReN(-si8rgJy7H*<76MP~SoJm^z;`DFCjGF#yi(6o{&HatntQa7yWTIOer1XQ5Y z#Q?8ij%vXA11=&kk(KI+^VhZ82x@tq;B5IsWfGtYAU8WOqK|PELGICX{%#rTe9_`I zPBQ|x?w)x!68qbM9GE67qO z!6s=^co=_y(Q6&$cYJ9~ref>DLL)Tlk!2@(ub}LM|LwmK8&?mj8|_F-=k|YpE=QS+ zpce#m{QNGr`9dw8_YSWZ7IbrCE3;RWDY!2egkO4WV89q!%$Zqot%P0MoS{+evc}z- zs1A9e0vQ?C8h(@nupGxftv}LYdH?CGSo&KmrJwjyDpes96cksl8K5HRAV(Ed(1w@+ z?Vi3*N5-ziyM$-zWy2ks!59&Jtnwh8S4mpNcGAa}Y?eikcVGO|rU8d-#naZn>N3Zo zfhM9Ce8OCG|K`vzp05;ZjCsL2Hb?u1Mp|Gry+1Tm(*R`zS{8kOQ_{U!@SpmW4;+r> zfSRchUhs{>&#{oBch25raKlBngr&f^`(pHb>Ma?H+v;9aO?WiXQV+M6&AcUB&F^Nv z2g^BVX9=}{BwhR;4oT2ZYb1m?qzT{$HWVrZupKTpm-=N-JJs*82(-;lbA7bPcd;Nn zq*xLFa>3;mkr7R8F4G$&JJTNwDE zNzb$K3-$Rm)tUd2`Z?Lg&dt2%HDgYMAs(re%b4YEjerh_+2;DW)7;VC*qzKP7Ox3+ zH@&a8uBA%&N(QUVJl%RX&&%dz7s7QoKkH*#B!F^M`D^BSl8m+m# z)aAqc_QkQpGjh`Z*+DY-UCTyNc&{mhw^!H(=#*m@!nTG?s{aY!Jr1N#NEs9Rzy#kN z#ba^l^t>J9^Y(!Ud8%NZqIiF%hjBOam4UOa75Rg!RNp$lJcz^F@M9!x=@A(A4(S7C z^&SE2t{wbbaVt|_mw=9?Y-PKrg}z@aeDhxOXZ+V09Xdf%T{#o2Kej^)s?8z{L(y;onuUUV+3tRO>rJj_TgnXeRh>eppiEGqO>B}i{|Oo4oTSY7CB zxLqi4B3>XQaD4My{>Ca_%bJ+gzVpl1=*K95y}t|$eaB5#Ky~?g#F-sI1ee6;ZDUb~ z0EPCNNl;lm*M_Pwh^h94Cul@5QNdt<&yx5zOLs;sQIX=@_YTPrn5B1O3(DK*baqND znVoT5yx0-pjR23|*#<*UXC{?7(QyetuB1k_%`yOHSb08I4`0BW0nVDoQrA4iZ&SWQjJBcT69jfuxyy$UlU16S zPK>nSPXQ#sKz$;M8q*VOi%iYLtCy%Uty`){v~Uu^QJ_zYENqb|)+>USd^np^Gi~ z+i%>X(&IJlz*03X;j7UId5uEV4P!E%evJl7;LKQY&Z2^~?cd?yv_nAWp$)jkg(|CR z%16`myD4w{;dcN;efCPyBW58=K*#A%_pGiH+q_Cql6kS(T_li{+~ zv$pW^yXZ)0h*%Mw3a!KJ4K^IYRoOTd*jA;mH??pE#7OHoX2r+euf4(C2?;36$5pmF zgT;hG>pL0<8ON|gB;E%Re)k&Iki?&@q~=xS=>dk$ z4CJ$0D+EfxW~8Zf3`?#0MHmj&En~_PkU(l0lc2Jq{6&XsBtdcU5(`Q%0?6IkhLOAS6c7&Nf``@RhU>$fc?Y$)`At)xs z;64|kbGoDDH8u?3ENcef3;bt}5o8bKh=r^jghDLb;iOF#6EpZ6w^}l$=O#XEE<{qJ zc*xNS%34T0bN0<_T}_0ICnC!nMK?_j4C?hNPD;;e>_)=b?$fB3Ioa9hQreXf-zUqo zv^63XbrD2nBL#=f)Z2T_my4!Jrt6G>2`YcShD%9KRsRK5NmupTa`Z={!UY38RmiW) zF+KOdt`f5#lqb!xM2!uXKE25+R6>ItkeMc1L{+}YHEh?b3#h*V0eBkxC(>F>&j6`J zM;K~BcVNc{Xyi~(-R3W?A3Xkr(dfV}Z?Dju-M`EMC@9;%RKI)Z|58-^2==rc7y=x+ zN%g@RnNP2qjl;4tGHoqZW3n!;v;TALkMWsJp7o=hLAHzOuTIw z1UO*DrFG~-YR+L;wtU}aAOww5YL;!%OOr2Bi}K%=i|@IQMPaF_+wRWv(Z&KzmDDe( z1ZQ@>{OEGGOuf~d+U^ElIRIuB8t`9J%XHZaXpl03Frvq2e3-Xyvm1s^Zc> z?VdZslhek~s7;W6fAU1`dx_CLwwhigOXqH|G9b|vuD-Nvjy%1VFSr=;2Vyev2UOR- z^3Cs#Mf<4L!xw;82SUPQdi;+<%;dQ-lshu^?~hl$G(h}#v0HF>GP52*9BMKr9v$tB zw;8vGUNF~XGik4#s<_De=iABx(9C6+Qr=IWQ)0kL-1g?$dUN27Fd@F7%#3s;$v0X~ z+~dZeEl35%hCbMp8TIwkS}YH@7oAPceIlT(7(VTDYyb<0L7Rz~?D&%x&xUn3_uOIN z1E>-EJ_(X9l?!!oi#B$8VtWel?(NWQCCq?3L*(9Ly<^y6*v2suVx3)FJoSRkLWbDcz)VM|f9u{6m*TI-8ylpCoo_*egjIuZGv0rB;4E^RI z=bVpr@(vB`^fzBD8;F8e+CZ>QFaSEbE!Z;Q%$IhJIXoH`VZ7RjF|eyuzyS!c4V z5dOXP7Fyz+MwX>FXL=dScra{Gd&a7Hv`gY`o-cP`LtY54@4f0T5? zk33Rq+1e-)VTBv@^JJBZH#Ak1Le-2apg9q0gLOgjE>N}ngOVndB4x2wB$j+2*T&7a z?+TIaKXS1z7zJX*haZU~KHaup)PDBHikgy5c2mW>{WZWdUPHm* z(1@^(awVpEk_zCJ+-R|WEIuTg6ZLQ_scxGX^{*gFyT&8!O}Uysby2>|0lz8~uOj^L zA>)lh`%zD&(ATO`&W5McxdpTZq$qE?I*EUhEv7iKt zp&~ig#PTvnH1k@T;jPNJpxX|lzLlO`?T=AH>xx;88)xd+)cBmL+q_$Exbnl8i3f&Q z;|D?n*f7I}?HS;`;t~i770} z-$_i(6IS2b!|RQ5RPsiq04Aochlk|DUcwJc9Q+Mhv&vt5q{iX8;9QpapRGvRO^4{W zve3InR9VSQ%;wYzIu0JeFm&R>oT^w7M4x`Ezjm+kAF#c|2+b;HNZ0v$A2Wm$_@&{e z)62M_#9o%&g_76_wt1A1`Yx{xitS38;6mHOm$Gw?IJ|5(3N$g1^iPD5z=_Kt>SRewN0N5ejN4csD%y zWu)r)*Yi=b&+pOwe>MN4Kt6norX(}hXX(cv(em}eS-&+dYQf-C0WAxmuvwT7D$}<_ z;K;gkRCF{~O0}&8;B&UJ`c|hPCa1uApXOnYsbP~MM`!{&wfH+{TQ)X{A*jd`{JM>J1>Fms2(=jiV zdQ{CoLv4|ju^U;-_5A7wIbl`$w#}CYh`bqUVW<>lzc6%`%X(k%YVE6}gb@ z@s`zzQx|<7D2K14JWP(s^`{))NiO$5NRjCw+LXD_s@0^~oEG6~?7V}hb3L0-3eBs9 zK~)9@VxXh9{ww@vGklL`#6E8n(oKZ-Zkumd%c*PGp`L1Y(mQw4qHnTbcB0gdEB&sD zILKk=?E2BiP;aNWH+8+kymZv+x2BlhlqE&ThEvMfY9mqyH@eXyTWjK)s^V^ErhFB% zA~u7h(IFH$mBMuT$M&PY3)B#-s<#cSTztljk@+dWK!)(PE=>vvJHRJah_B_Vttt^g zGM$Mfh$Mau&?NAwRiDHZI&04OrAxHt*Zyz)EZv`bYttQNH(J*AisO$GzK$LLpGPBncT(=LLMW5dE%%7Y0lN$|J$!Ho>r zy`rgL8!t|)VX=-&YlBJLuZOp<2mKgxWK~T@2lHUe7Ezqo`BSwYdY1}&t7`)fZ8?nJ zZk^VzHrQ>fNy#LH1+mi|rDnOTRnll>TdAYu$Y-04%sCLp$F@XPTV|6I(IFs6uR=V( zg?Tx$(x8lu&O+jRPs=&{xV$jqh#4_np@k`dLG}I@=pW)w+4RNcqd=?9vV2Lxs<+1Y zSbTlu*jN+Re=s8(MO`o8IVgFg8gpM=FO$R!NE~QQMZXs71;iMwKAbenPAWvTh>E0U z@)XOLJW8V7jX;Fyu}OpDO1pw`xi$oT*(+PR$H3R!(&a5n^u;Q8AFHc2pp`dyG!BJ> zb>|2-&&O6^ZtIK!$zyC}*vXgp5H zc%-FfDvd1j5eQ_oRU2!mo2+$@V43Oig|sgwyCenje%asqffYhX0G&jROlo3Tp@k-K zX2}x-2kSoR6s(TPjdeQKsd2zv`SCC^IW-~Yu|iTEzRtWLXZE1H%IT!(4!$2tA$YT7I zB^YI@a6nc;YxG@Eh+Ph}+r%PmI~y49yK*zqm(r*b6@_I&htWyVQ5H2(q|f%@I?rK=M5ZU{gA_oq&WGR1?Blk2Z??}wT3eEi1yX0mnYY-CPi zOHQ`G2PY2K8M&K-eI-TKQ?inG_jXJuRYGM)(SN&BuvJ0+tUUI-wa}Y!`TZ`%=E0}@ zpTrDf!jm~x(gE8YPvfF5bS`pFB;Oj>t z6U#7us^uV`G@!A98VZwrOm9x#LnLb>1z!ZOAa&+fcmPn}qpjm%g%&LAn*wV|o+KHI zgCx=ZCB6r@G4){#gxovNO2mG3jJ3qi-HbBzV(!D=P6)K2v(OXR*a+xa$OZ4tgGeW`>4krz;sDgPEZ@>H= z$k*7qm7n|@{Z5f~EYA6hDNJ8q%}!6YQUfX8kE-Owa%{s)kjj9%w?yq`xGCoFgwBwn zjd;AczLv)70^gej?JPNbVi3k&GEI!0gEg4E-TREvaPV4j&2L;kD|6+B>kg20R6@95f{4~W!7#+59 zE_5`}xulNw>ro!;n^aPaZOoK!(k-90ITuZ=O&*1~O96^Rz-FOgqLj2sK3SMIKfh_2%6jM7ZthR zKu1#otvN2ONnge$Ri5@XuK#;X$ICJP=?7%t(S&e+lZfE~iF@$>A{+eJ1or=p(O%}= z4b!7r$_Wot>12PWyuqX@z?d4~mI@>uI*K6K7nBx?6L6;ehrEFXgqnfHZ_H zidyim?}0|&U*Ch}wZEfYs+s*iJ$8ln$pj35(mgt~VaH@8`U&8GwD3F$1+g+H{vnq^ zzB^Z78x;ySZ1;ARO*{LMA>i3P4`wj{1%_|G^nvnwjZg2i83cj(ALTa>yC-#DiV1K0 z$p9}E3^fY6fuVNN5u}j5ItB0@Fa-ek@SY?mytq>J2dcg$^uqPL+&169`UXB;iaWZ^ zMw0j=7T2bGvH_z%Qd5z9p0)Rm>(;K_2wifTjh$@&@CU3=;K+3ZEt2uU zQ=aQxGm!+q#c=C>WFl3yt1gQ;6Z=cX2vh_C{xDd6zaG+F=E}U+F#5!{+n1#}JgOkh zc))LSG~8fc4M;zWxdmEGks$ZL4E=6P!YVX*zIOKdXlZ+<#H&jo*rNsvoICaanx8cK zzkcwsg!oQO41hn=)8FIiK2)^+IC~ZKdnKIr;~Hlh5kZ3@85brCn{ryoU-R}YH*Q) z@UgFMc`D9)at_EqWct;?Pn%2S&b_pN{Yxm1fG?Os;h}@G(Aw%0%wNap1uY3AhgJ_< zP)@`%t+!3kD}3?ORf$Q5a&vY=+FT!H4wCl0|E>DJaP|$(Lr_K+w5u(?X$X=xKwRJj z0Qv)$**nw@!{HRXF6Ob3LK)0s+WMWcrXOHm!L!)RsF{>otqoe(wvI*&MQJTCi;7}XZi8R2RF=rvye)5?=k6+^2ECSsYP9RKSn(LGJZ`9B3+-r z@s2stiu-SGb5|42hm8CFoFxm?uzRVWG_tPea`~M7cQ#^OdiA(F5U*@B>NF=6*sjjn z&^$m;`#eMpCe6-P8Dy{J$sTh+;#|}L9Adl!1MA=GA$uJ?p*i%yrdrhe3D+HC%Up@d zYa!djI<(w~q`@;){kBxplK~l<8?{)dVA;{)C|J$2lO@NeHQIB&?8#0w_C7M3ttp5X zWdE9o+QFBPfjl;yOYupSBO>yKDsWd9>|poEE?ir1!zo{1RoAn>%>Hq;)wrE zJzOsn$}FwI#C6{5duGoioZ+g{rm{Lu zKTo4Zdemb>Em&?WeAk4%AL*fzo_@9BJE+`pXmM3i2RZzlPy{9rgoN1tczI2bVe5}6@|`m&>WP^hZ5pOosetVC&G(5f z8*2HFM5U*mqz3&>!@=%B?J{7}%t_tG5r!fCitmsz*4 z8zVYDh%@;b0RZY)=$L^uDBgF8jab$=*j6?r*`>A{u!f!_gyUIRFaw*}0iEEllaR<9 z$}9ZV*1ZnlID=Z{cZeYUXGY1Rqh!DzyMhu7{L0;l2|jxcY6Z@#z>LIdrvWIz!YK94 zE%Q@d^j<(vZe+!Yo3!sS6k9H1&r3#eyl~K#uqfRnnTPy+3X^4IWa=s$(WKU%Xr`#k z9A>iB*`T0EI;rmPeT&cS`^X}s{ltR;_2$a`Alf0UL+i<3k`eoA`37(048h&; zB?;$N(ug)+J=FYx3yU}AvEF@ii8I58!fUsI~N8d zzTpZudv`MJww56H+NZ076wMU1-N1nd5^r^nyY5!u*pzHrs4O>hqlnDz_9N061(yu6 zs(84%d9_H&H)~w)5`MEh7e*V5Rv|rxmzoqer2DH{+nMR{r1(}$RfJJ4Rm9jMTVEI+!fX zw*qmP&%27UF4{*Wb4b3QGmDuU=m?zGw* z9*e(aossTIW!6BRTN+jaQe{F;2A+>{LZ}4;Prkt1f?Lh#X|ZV~qhh9A5K^K1>5STT z^2{7ZT?v2dIP5GHK9!b3($%xVh*X{?tg-6Rh-_F24Lv~GJ8Dn`FlcJ!Y z&=eR1`2*?|>SIrgH_&>pYhzz>B{_a21 zonhuV4tP*+Q_t>KjH9_ZI*8W$a3+<=rZQXd^1ap*!n4g%x9#w!kY@&6c=lVN{L2g< zlhLx&mwv7%yg5eDAf-VH-62PnY#GNGE)un(TfQ68lWLO}B*tSEy6ks=@()|x?tF(E(QhdvRZ=r@FF)T`?x4=%;2#V{jenHhKC zI3(hv3d?f_G-++1K{dlsMMQf}RG;ILaTP=-P-Kr<5IFWhf!b3G}aunJ9a zD1-y-2sEz4=~TdzIDN;?_JuG|y0Ae0&!4D@tKuSyyCaOr(~DXBsAKQ!td4_k=pq*E zYig?jis`X2KL`1eXQ+Y>nNb}ka@)6pnvzs527UCA(A@ab$6mf>e0>KeIo^??Ehu0^ zso^W3Lt=acS_u!-R@z~BG$X8Jdzl#vN10Xv-tiD6_;ZU;R8{y$yZWP+d_MHAR7jNs zY?~Z$-UC9W0i3!jbyZFDUTFHSa4+RcjG{S76I_)(T76HtKJ`g3(LI|-6KG%oWyq!4Uho>Z80 z)&x0Gu>JdOU$AV@9H7YSJala$CmW5d527cSkUrdDMORXS>`~eGFQsfcAgF;Z)VaJYEd~vdzQ8cG82oZP_ooN8dK!RQvSr$kis|xGrR$QSS7{d&}Ze z^VL&)`UXAhLmK05cKrB84z4C%_*BV2bPFr&|ty*{1 zU4E7Z_u`I1(TYrb57idKUeQwTLbbbS!leL|S1hi`HhV`V zQR1W9Qjz1(pxmbl;?V9O6m(q32~Z<|v|uVIzq4WO@#Ff4X$%MoT<}qQ!OuUzTb_aIY8-~p!!PbNpZ9jFfhYmv3=On_-#u{CzhK$A z0DMg#DTs;$z}N5aUi!ds(R~4{u84o%QX_VCd2`*@H?^q>;aqb(O#ia#8vYb(gD3w4 z&QQRG+5$1ggMM9Z7X?YG?#wlDJv__zkF^z5{zf#|fK;NPIdr;aOUD2K=keG5Dyg0T zV9q@I9D)XJc+AX$JYF}EUePX6UTF&Akz~&5A8wU9|Bf$sP2eO1)lIg{`asQry#Ri^ zomwyq@T5!_zCWGN-s5EuCHW%LF**jGK*2LwZgaW2{DbcNontKt7n_@5qE+!qskwA3 zJ)?Z~H8d0vS`w)LP-WEks|mv<=;36M^xB1*MSSS#NW?oL_h%hsPsC4pAvg8{ePzz0 zb7mv53-tE10DVLvu;1#ucH5jm18Md{gHnKt+HPmeA2t1?&>y5!cwt-ZkLmVcPAWYQ zMk1Te*(?`F>2&24$J9FcB$HIzePh^g406?FD1TJ|dC^Oc|j4&fq3{DDJfuNDaQhIE8IsvUhx)rn?t7 z;X|PGo-qOv-|2d+>$w0b5;)lcyJF2+=(?7Bc6fHonsX>#M9l)$pzd>!V`aYV(^ye3so{? zJ5XM_G#y3y!&H#XgvW{tY7|(UyC{RcYQp=QqWFG2EYy#;nV{y+RmPdm;DUFnt8?E= z-48w&M(6aJ`+HOJnJOmQf_-_0AiN_ItD%M9KbD*2A4pp}X*n76?9FwqGw(9K>}JPi zwZuajjCfV^-;EEq19qEw}SOwJSS>&&Fq3~$F9$1!Yjzba0tm-E$RuB~K% zGF-ga69JqEtj&MXHo?N$Nx-Whva<#cqKg!8$Z)i1HIS05*hze^5Tgbv`Q3Xq|Mf!J z(WcE5X5TexCeGwd>hE*@eLr3?;xVMQSYEas#t&qs(3{L0xom^AfaQGs5`T=xI#4@! zzq>YawNeg;XxFd&`B-POknsXNPc?CU9_ma%yeN)M zt0`XYhdlMAQ1E(D-G{Ln-K6u^h-RkBI#AtNN6%X~;)=(4uL{1-8KSzS2bkT_;-R8K z8HTLMTz&62B@50%ii@Uk|L2d<^c18&bQIMqujPE6BiW4)Ti!jS7-u_gZ$Ux1kF5V% zfz^Er>`NbCdRoZRg2GE;pZ<9*7b;#|W`ob4{dY0kHRkuN-8Sezlm{>MIPsLlwtq1E8`Zb!|5ug(EEcQ1i>>Y8+mQv!z$^y=K?0T zEzjZ-2Zw^-YY(MAM6L86zzc+q@FVcBdG5m+VzI)r0!phcPCktLQhY{tYWl}m?;X(Z z=#sgbTE2LCpr~G5?iA=-T~rP&4tbODg}F?9@9wCjJadNIZy|DIob(lEc2KvNxN9C6 z;?PVfN(Qa`+V0!tRgO3 zdON0|+_mX=;_Udo2t3+Z(30_0o*r31EWHMUGD&VszB}bO-++dE0mN#~R{G;tVAGsa zUXqxQ5j)2Y?&247*Nhq_QBFSdg(~C&S+^mI9eN7}Y>9yOc1Iziln)*<=MN+SEteDU z6%}?M1?>vQfO@R*-Nhl}&pLEda}jn$kkDmk^^h`g@w9+(Rq%0~VD0#5)Q|<)13o%u z4@9oC(;LGhW3;CKM;sbvQKdk{?Ag8%8dtx8AfMOGoQBye8(%u?@1w70R88^XV2N z6V_UyDhJDKy2z5sDHX|WfuirL!5aaB50-Qu=<&E?s3glr?+3ywySt`2+t8KYE-udg zfy@NJqLe0ba9&N*`(4MLEC#&curHl4$JwmL-r(@P>d64t5g}4(m@N1CUS`(q+D%Jt z3LE5^s0-|i^woItu%|+{bJHk^wLMVTAXB3!j3*u6OZ4464vvCg9bk+n?JQ0)CJMCX z20Ms@(~dI?V$F1*Kl7ckfDK*!YKw!d6;GdL&wl7k9A6RK1&#!$Z|J;DuON?T%7|4Q zf2xg2{pB`uKs=+7I_tRMxRh>}q#|i5|t5PWg%2fKk=8e8tkv$`8Z| zClsV=Mi1Zru*9Ju8eQg;=I>b#{B$$`k$KK8tbU8_gyo!4Ctp#u5$SXKVtnX4sww61 zF_w{I_oAf*xGH1b9ye$APYeuAXomjXZ@=q-wfpKzPMPOa4}Og*`A?8>x)cfQ zqapjeO_fKP|F%N9#$82_ZZVMVSk-PVCDa&aelonnU_p%yzd2@ujjFDd{^1-VP(e@tYkiq%gcxN);q$KIPtG&eY%-fjV1ebPx)2+F&Q zs)>sRmrL;#s8u4Mc?>j7MYVk*_eJuJOfmfi*POGh*+Hb8Ug3G5opNOXaZA2EJ4~F} zaIk9e{fOFsYg(wv9_>jU{OIleK58N$KF108{7A5X347y-h-TobO15`je)c!r_l;AYqE6}N&QvPToXPcel1tVh2E zEcqaDTk)qQCQZw(>(Sdo<;D9?kExP<8uvpa9JzmWC|N{rQ_EiFt)*tn=SpniYpK%{ z9aH-wGn;%!eMm`O#6gP1_mEv;Cm-=yczBU(+`~Qby|cr_=l{NDuy3L5R6S^HPwS?O zdUrVAPjbsva7*{OE z+cn;|4n(CItj%g!m}mLOdyd<2JA1#$razeoVs3d$XscVKhG?~ktIfwxJlQ`#|-e1Ls}+jI)Z1polo1Ifp80k`QCkP83+ dHsbp~{|910si*!WD#8E&002ovPDHLkV1mTubE5zN delta 53124 zcmagFby$?$_dYtPh)78YNDYW|NjE4h-QC^Y3_L0&Al)t9UD7!cLw89GB`NLDzwv!P z-#F)7=bY!SxvrVH*4q2o`(F3D*IL(mkHddGj?nm#@UsZXI8(Mo(_z!8>15sW~|~UUP74CnR02cS?%?`z%!h zDkmqMh_QQ7W8ij5^e%o61*1zJ1gd^TFX9;ZFcEt(=KGn>XL9#bomZtdM0}>tK_LAk z>QjdlXhd|vqV5gRgGYP^KXA+YAW-~KC>?#}8s}kMG?Lm$?Qj7=>;US6gmyn3s_xgp zag-DHC-IFajKIyqF}R=IaSFJ@d0-B>wR*S(4>mlU8YMOf=lxL1Wp(4t?rYp`A>%^@ zeYD&80wJ~Zfrhqe-R}e9PNp#7lh)s&w`YL0+A{kC;|zOFu|133F0sCu}1xO=!=f9OGDUM~E!8GP{Q zkPn7vccZRF$l&k)279RZDw`<3(H0R#BjQTVbHh5&EUaD)wXTesc|(Uc=myl&NS&p)^w!A!A4#_!UED(j1YUB{R7kSytOxh@Erlv`YkqilcC&YJ4>sk>u3 zR^WreGC9#{)xD(mc+HR`R_Z`nT-H?rGh<~>KM2$%`OjN5Jc-TPpDU3cZY)nRM)!62 zDwF3ce^*N!?>(H(ap>C%;r5g|o_#<7P7m#H(VNb@o!<`&4=2D`=iO?_eb=eO5F1R^ zExhB5#2zyz9H-+2DTAhxTH0^Sx$IAJsjw-2k#cSAep{^%=d_bi#^3_T_A@HkD+~~5 zbQJfBXIK}3QN&^eCD7D6(9-cXksl3w9od+XQrl=RwRjQYVSA9cRsr0CAN+x_hg0C9 zQ&Tu>Ybk*UD`ew5(<*Ahb$;M2rR)F`2``g^ivjSI)q>T?%JOxsUfS!%JhMd71@>4^ ziJM}URa;!DQu2Cc6r|nZzjj?8<_mhJtYH=$@vM=9KFawMJcTq0dsYMW`&fSR(Ef+x z=!YpjSd&dd=OLdB#7Z9Uqq&W%+yU;QyV(j>zw3NR+rQ>?rgE#;)5kK^wyZ^bd751G z5lBd@W)Mp_B?5smGXEZUZf!{ZZQ|2Dt2~7Bk4+)^NqSh=Wv;7y*Q?8v@MzJ8lhw#N z=k&q|##bYr8C)H_h2e3@F0NeQB+CMm<>=e1Vf_L9tWgtr9zd)DUE;W|^Q=Z0LQJb# z^ex?#j5S9n90$}@!2kDp?n9+VzUJIT0Iyu_hAjl*ug$*RS`!jByhNQmAGdv~1a^z) zttV+ko~DPJha0aA;wA>Wa{X#DqU+$3Om@!`6~}$ohb@}>i_R;eX8J+V+vkM-HQ^^o`E z*r`9ZX}9s0X!?t}b)Mz8<}YY(agm!6{C87=;Tt^9R<3{F-!^RstvQf%I(UgX*&9OW z1DVf0ZijzEfI!QPf3F7ywBO-H8#k=6R|O-TNP9oCz|6bOF|axN>@7Z6L4 zgrXS`TL0%s=OO_A*GHcJ^^sBJ{`Bm3JXJ9l5XC6c(Jm}>=UOGuomk_Ueh+y#e}`51 z^&4V7WvmK2RBKg(4zw0K9v*#z!-gH&lnu3O$v)~h$$AzZt!KQ&gQaMGRG4l&Qp7HX ztt4`LAZX@yaz8iA#t^J%Bl`utgp22t`K_CUgU4n(G^%7q%HGehzB^J)sF$X(D*`7R zcunvcBt(l~1F!y8kBj>g|4aJB<)WMjjIWqK?|r)N_kGfv`<>U1m={Ii1|O&W{OFQf z=}Qsbr|M2D`bl0@jx?tmgr&Qt3-zfqXzx!@y3C|*YcQ11t~aWTvhsf`uVWmAZV`~- z86oiG=QQkdASJ84^T`{u)%tSNYEO@?0B3?WgmR}+b@T#sWKPa7MvFw_O`u3_n88V` zfL+F~;G>R`E!GG|kz7-G8V=~vSet2H)^gJ0l*~Lzes@-IWWBHNHaC1=`FT5DVcvD# z&1;uoLU-l@MQ$lZeGg6Pkg(nlZHj0&zybRCo?jhd%#ttv1f8blGg5dB0m& z$RDfe?OXb6J^$T91TWts9Y}G6v|ucq%PPjHEVWb8?~ZM}NbkoDNy{wo{M{+|dsZ)# zYj|L=UGr7`1cx0;d3ba~-g=6KwPOJom>eRX&YGd=B%6yNVC%a&yGQ!9NSHMxQAlrrQkm^m>u@ z%~t9i1*4v!w{q_H3MN}*y~;xrBQfeF=b|^r$l=6gny_4(F~LM$qe9OQY7u@oAFiVz zHxa~500u2TZ9&i*(QX1>&-N0U!+z?KKh}vWdP5~td6T15XiTC4F`vhJlS%JLG>0?< z%Q0=A{1Q_wSSOO7<0#kd$x{xemUeopxT4FwC=cRX3TIi8?&XO%@dr0XjpEBHDE)k+hPL zAHQq3(G<24x%4BVj)$v>Qh{j8T8^SQID9I*b+Vc|RSZ zmWuemUUf=J0yr*ktUlcgR=C8jnw5iR!#q@aa+w3U>2-RV_6uy3r43hr5houn?u_Gz zh-|<8r>6;;FO9Vq0#T!1xqiZv8T=VyS{|<(PwiEzB>oKKTtEy(n@5{v19zcrw^$Yz z#s*^q9D~*h-=EazIj1Y4xEXN5t>O@NXh?i_Oj8TTxl@DK$~@QV5nnFkCBvf^sU$t= zXOiInEepi&r%|C~Ba!VrKriA6TXeYY@83CDmG{2C;Jw>p{|w(C`3|`8wO!>12p2wm z45|#*gxp^4Zml058MYPP>|U_7?Z^%g70n(dd+qT=rV9w&oJ*6QS!*{d3~G-2Oz590 zeKD0%!r7P%2AuBVRDUJ#z;RV=#8=tfBBkaisT#orw0l+Yo@X+O%;xUz<@Caay0yP#Xan{jgFWe&;38*<6BH01?nyuE z-dk9-`(5AV5g_uWwt#@l)oZ}l9&*LFVAVVAs+ga}@lljCAI8j_^Q5D7&dat7hh61z8f%5)M1b-mT*p?o8#ww5QTzcWFEGF?oTb<<6oWLLyq%f_q{tL(0M8n z*)88G?Q!)kH5sPVR{A*AJLckHbaB6a7)A5fD-8`5OGWi+e`@-riU4dP5f_i66xTbs z8a*fZXe?|imCZ`*@#o=~M|*G6NGDOkqs3Yk81yun2=jBiIWF2M{bUYcR@{e;`pfZm zGhVY2K*`H*I|}}DFTt=0?*EO8LvS+>N2(U7)pt@mDy?sO8N>&3=qB1?18z>y!mss!&9gi6@e$`wcc*tjys}o(Wfhq2C5{wAX3!n?q;L&Fg{1E z`WCNTSHxFuVhS(@9j8RM7_|ukLwE|tDa2!0vyoD>Pp*q&pweeDQY7I!rmGl}DdxAX zsi|^Ys;=cM&GLO8ri!4wg&q45(Vu>Wm!np<6z?Tv{tKHqztLl|phm(Qt=h*84XjHd zVK4Rch|3#TSm~)B3t7`eGH-{olUd?(OYIGMsa&|OMaYLO&2r*yrDiCmwROLLPso-?laD5?5sRm0p0iz- zLO;paArIbLA4W0-6xwaf%?OKL&yr3pt149%9AI{7C>OhMIrUF8OKftGYh@Viu-~)9; zb0vE!w&`$O)`Yt|y^yG;FBr?(v5aocRsiJO?hcjyx^YD3B|yjjpxRgUkQseKEzzGM zI%OQ!743_r_j*?iCtqaKMUY;EW7u(0S%~PyQ2B@XUtGS5s@V6i?MIzA zi+cJNsH>Piy>6!;T^wJ2jl}U@3-a(!|9ywdL_@hJSK_2?4CD5iFMA_cYsPRF`wAg zrn2AV?*9IfK6L9TTb=hY0#Aom)}hV8GtvMZIOL(}O|m2ow;r6n{A@N%_|l;3nX0Qj ziz1Or?s-Wa&smxQ^aP8{mw=sR2CyF;HDIzO+ohM zN0mZoDDiMsC?*Xdqiw!%p4OFFO&EXS?x3QynriWTxgssOS<+b{owUcP znN9muV&Vd_geSPQ%EpD-x+mJTpre;>&KUM-U@%F ze)6ukkwg5L)bB1}@x2j$IvY_E60x;Pu0qO}3nxR&YZ@5eNDZtHhGzR)+4rFS#r(pc zls9xyUxhG+^>y^fMa^r>Y#VTw44()PRREdZWKk>7X$caD`U!g(1z3r_t+AMdvvv7} zolS*USv3FoYu`uC*#9!#cV)fKl70JBJCA&mwAAMZB3iZf(Jfa0@?V`W(~ZH<-$T<0 zv7tf3S~dAAOM-_B7`frmbV?VqVicWz+!pm{!PsoAEv8hirgt?c?mF1uC7`4mgG>dY zAF@@i`99#W-@DtI2!Y{KY6H=l_B%4X1=Z)+{j}!7JR;=K{qTW!_jwjsV~%0`wCiC7Zx8nOAjr;(8TEGJ?+-gmSum&vVoF6On0JnfP% z!7A*9s?X^RYoO2M9r!;k@B`1|#CJ|7WXg)H45_1rE5_eiIJ^1+_R$N$*hSN+yH9Gv zCi1~>9GP8vRxIpktPsl#3lZ175OtP&8n0W^g2s4J9yVIEeo2^Ef&+KCwTTAQv*H zXK54CQg9Uo9L<21t?%?T?nEncv()fhDc!OsDihW{f}e6Leu0gMK1Qkl>j^K(Ze3MB zG5xN<4!`$x?aRT!zj;g<)DM}ygCD+dOC|X*dHRX1D7M{SegK{avzQF~i#0J3nQVv*laEK6$sF;HVi2@57POZ8mx}j z8+Abf!uiA~viJ%^g{kV5XV>2Q+E)U%)2nF&BAznvW?!bsRDqa-Zz5vz4ryvi);&d* zaivaJY(R`vW^qgv7YA;7rVecY2j`SZ?ZN zN+0$-FOryQ?4~93E7XDo!tly%wwh)Cb+4b+RzAHl(n#yi?JqqxJevJ_O)BmnG8=qV zDf!JMeM97aPC%v6f9ZD4DW;~hly?*JvEAPGJzsY*xK=X>$YR;+-pGYdmI^P%(}qW5 z!tN)-UVmsdq&(yC(I^u zFNH=pZ}*q7cR)F@NciM6(v8&88gZ}kIgt0W7C7|}uRrmEP`fBs0g8QK$877kjJYe@ z##zYhde}KP?rOTG`%Th}yp6{Ors(r>cwn=XrFd38gw44Q7M;08V-FZqsqLwuRU;Ek z9muA26_t8o{@)Ptzaoh2SI9Ol$yDOF!)Gtl8^xoE#P6%!ja~7Dz{KoB+}+OfR6ZcLjQM>3(J|;8D*gNytAHCJw!(cT z@EBKP`AvmXr^x(t76jp%EU>V2iACw3a7-;N@v|p<_Jd!WML?^WrD=`aqPkmA#;xT)A;U;wer?zo?LBQ|IPIc?=BG-wLLfR(%b1%N}p$hM7*{w?FOLCWiJ4 zTu^>u`f(It2rc5kz?zOlUCvPDAqr*aG0ip%n%5EAHk$gd(vVR^a@7j0m4by!GnSdVxeY+#u5GAkwnwl zdRrO-u$A#?mf&tnnzkH8sEu~t?6dWeE)IfQM`Q zvMSk908zY@FT8Ds4()&S_BVg>)TLm}ECYs0!fY4fq-9{eOid!#y>BmFPXF-JMqgS; z%a@QQaMzmt0Gv82cbYKXexPSv_8SI6qpxuO!SbJ*I5p-;y{m@2NZ{&3ElSHr%+zO{ z5K9BUptseJ{0`>oNF0#}MEmwFbAVHM=9%ne^%^u{mkG-p^3Gjb*OaN!mjZbQr*}`O#RA2EkdcIaJlV$_u$A z&k~T3REDT$jVhfus`3a&t&ySAD^?wHsDO6G%I3I^?5V}OKLiSksSL8V71nR$0Oyt1I!(f%TS+Bq*br*&eiTz&wH+aZqJSCz4-+mm|M#2 zgZadNxF9-0I=6v2RRH>l4W$&DDqamcO!Zq4Hhqf*mAo!_^BJ7_-F5-3ESe=+rvCtH zdvHO8wYRv4{-+Y3 z_m%xVq%nEfg z3ll?Cn$s9u3X`cexMKy0Ky0fJW-el&+WgHSWu1~c{hdk;M{WH}pPcOk3r-%d@0pU| zQ!O=n!QbAUkhk{1yE!C7U*xl5i2`R6@+@7d3bY^}D+WIU{$^E^7JK8xjo!#Wwd8i$7^I(l4u=tSxuimXd{r~hR3Gk0mp9;;HRdVr@RraZTU_oYL#eDy0I$mStoX!Q63RGvp>t|nta}vB)fX{=F zGdYaKYMXf;kF22?*gTfc?6>(ffP*tjRS?z;IPeR96wyrGw~;PS3{|PChu7T(512aS zh!Kw`yup9P>+3yB%Fb0IY-$5{OpQdR4;Ho=Qhvq2I9`_Ndl1oeQa(*4WZDOARetv4 z@?dIijs~Wk56T^gs)$PXR8afQUZeKq(LwQMI90v2T^;8;fT|;a%0=NGjTb zMv`8^&%%Tka-0Y6xV*;K;L4|BL(EYXY3WJAcm`d%866ZXIS$(i8xc56Z4T*7f^To= zF!?{F)){|rp0SLxTlWqM5f329RC{U@_?Q`cX-yJ!{=8tidqgY+qvi?CTB|h;pOLUo z#248lB0aOaX`nQtnMDiTrP0k(^77eUdz|VDK7UtyU#h! zyERPjPEquYE6;j5(D1J>$tC8V6yfHFVc^N=^dHTw4T0F$IP2XvT5RRE z7HZfr0;a0$k5BhAl=TZ2Zj4h=x58c?7_&T_b_W zEYc7%&Z8q&C}?cdkAP!L5QoSc65OU39Ed7py-t=aNbAX0|a_DfPrBay@giPyer8J^oUQGz;3x=r88F?rxs7b~Ma_ddrc}VV!JN~}& z-85lzz0lH|Qm!4C^sNRpQU(;A;d+m6w}P{g-R=YYDgW@SMWf+Lw9KCu1^0~#fLA+{zRC;v*Ie%so7_Q)Z$s)c^r1L>}+r@B!2(*^*3!X=D;q7}7OBhtQ2dP;b zyTy?O)NPrrge_A!LTI+e!3jA{%w?8|88iWH+pec>Ll#o1jy`nM%w0)up@xE`h-x9y zo90(q*V1hVEnOT3FWEI9e11E3^%k5+pB^mA?k{(L){#V(uj*I`4G5!G3MSlN>a2ZI zr`4p@(vK$G%YG&rlKyR2QtJ{G#K(pK983a=d68a?41T9!3Q=V7_|Ll~RW83)^`y+d zvMhMB68E`NB98_4&&3CY59pOVJhi&-9N*?~b(*#qiR_h`vbHBT+_SUsCSW9C-HaE* z0~_qa>m6CSTJ^)9EPMa{{1K98LTM+I}u z+^FdZt|%khw29$EO0qCfN=Q2eZNL>DcMqpUBDktIf%5F&{gJHm&xv!de(i#H76H%; zYm+eRK2$6lx5|o!U+@kPXlGlj69QD%!<_rwbH!A#ADZa#xvzp1PLiIver5MDN^n_Z zw5`*$ni(SWJJi2V#(`l+uv(j?v{nTT!h08QoP#1pZ_}mUs=Rf5>)|9km>Vn^)9TxD zWPR;tCS&Q4dA#tF=#T35Xb?E`kZF87ErRto2FTfw5GkDswme-~h;qGNCI^ho#KaiP zCTn)=VmFhSnX)wn-L^%oe9F!BNUQCo8H+rQo$qWn?lyLV^bIo?mLILw z`5#l-*9BR-pPw#ieH-B>GFSkR2XnX>PJP!Eqbrily0Sp4dQCqvKz>{HqxI%^SfZeB zBv|dyB=B^T4R@(d$q1G#L!z@i%k*B+D$`MQw6}ELPMQ#$WtCT=wr>zavJbv+wU5GZ z-_%Fvgi3d<-Y5rIYzv@<(owT|nrwAPm2)olsJfs+&h^w*OsWKz=1E(oTAt37?yA&^i0I9p$(4Xn zvnZX#@DRMrW{s=Z&9!Mj(bdgRl8J0|tR!v>Jv^Erp}BIk6N>mwcuDwC*{q34VD>&9Bd7#z~3DcIlScYB?+7}1(9V!GN?$6t zCv>M4rwh*EC_~hh`rj(72$J0UfQqefGSjrx@#SMby{Xv5Mw!K@p+4@A(a;jOWRh zjI9Lhz^`nAa70B8~Kz8%AvpFFJSQAQv^ZW#^C^oxU0eEH~*ScBKL) z5#}>rS%Eta@@OaL_n+*#Ip(%*)061cmb+loa-3RW8|j*1Z<&~ltb=qa8e_lC8e)KnjFl@^)NVoM}-!kI`G>z(_haUM$qJr#Ph3Dt#-4!Yzp6 z9B}4gh?9F;0L(0+_0ylw9m3={Z&>J(2rC)I5{x3q*8Q$xg1)@1@e$1VX$fmCZMMF%gjyxHFJe-RaR>~RVRdieb}q-Cl&9} z_A91&fz)ABTf#{DG6MbdGlOC*o<&4~I$NR=Gl@QNztNqo4#F(?#fvK;;uze5g5uC< zr>W+RUN`O+Zgu*)z5=~Jf6VTYcj-=q>RY!g>m_3ca-lwGTXNS})MDHEeGyycl`6*Q)S*J#dcKV!Ykq^s&5BDlw}4bCwa3RWk~HAUjsxPV=`Z zQ;5IU_avKKeK}Vq1~gR7#%A-gmyZG3MFeFj2JvV@cVa}*w&7zKG*qbIxhsD|+xls2 z!vXqsDMDY5GlP`Ne08>VDQH5ndgwcyQomLutleW~Wu{-C?0hclqPr=inc894ty&vG z&=9OM^tLLy)zvXDE3@huOh5Uo%Id`{n-#{P_aVXsx3se!eKCvom7Ha1-hu%6GNShW zR%+s@6{gcaYq3>Gi+MXQqIpzkzX{4Ru-Uy1cTZYjsAi~l=ft&{FAOpXxtNXRAf1E` zk$9+e2u}Z~_~OA*Qqf07puJk6?>qi6ktgfvG4YFG68-N>+&34l2S_OtPnCeHQKxx> z{6^q?uffdbl<`cs{MJVj;E3ahbhO2{?Rws_fX^|#6i>)*Ua-{Lm!fwIxFTNtX0^lA z!!-xJ6(satVJuy(F4}N$`uV;=*q>2f(bB#skkqT-6|byBMUT$vw0=EN0&Pdkx2{|@ z{hmJBqQPia^}4u}R3p4ZzIN@E_-Q&?JNFAZCWVx}@BJz#Hp%JnoG!MM z8IcCz;fS)S>*?nz-<87EHz*U6@Hby9)Zua{if zbgLsRvGQ4~W@`%24?G&vp}~gTnfeCP`cm+cv6nU$DFrhSX5}5%WMVrmPZ&uth({5X zJC)iTMX_I&ajA$6oqbfB)HhQznb`hDo1O8CMyfKTlZ}e1{B=Io#)9kx=TunNaUljw zLL>5vgI@g3XQxh#mnHyR3|ack(@mzmnN*YBevZ3mf$>;%+rTho(`QBz!?p)Pe<=qS zy84;PaGiKM-8wRGRz(-y(aw7*H#5J}bvakjWX)ocnCD;IliCb*G1$^bNgteErKm+l z>o0vboe8z+Fpr$yiy|}23U5;o9-BRMOaFd1iRdLIAXL+AA6}lC69K*L8O%f^d$=4Z;SQD%@x11L0mJ==q*uAKn7-#IT(s$J{1q-*26ems1 zd}x(DUOYIYY3mBe9G)X}gC^T|9l49&0sIk?<>#GCpMbyNy&4(b>)6}|Z!izSuk^wz zi#@%fT&4}ae=Vn|L@kvrb7>3Yw25S5M|dE!g{E(alt)ms?=RuW_hAv?ca5S_*CPJ+ zV(TQowNC0J=q2Wr2r?MbjUv0N(q`b)K@k(%%or4p2*7H{R;;y2`PCt!9b^gAS$HiO zS;Ins$KUsmJ_0_+D=LWt00ctuU=jUK-qW~!KeB$j9lG~~!mMfc<<%dP=3scQ$7~`w zRso$UCg__ElSqJ%7hhYeR%Y@iJbJq-fFYB{>ICylN02MxoGltf*J}Zwy*E@>)M89n+i#nI_fI->8y+M+40S&aetj0lcIqz zIh7&yi9X^hIvtUfOudG}dC>kK0B9?W#}blze2ZX+*Awyws?H_{W>!Ab!3CON=AnP6;K5Wsx!~W zAboH-;u(J~pd`f@eNJ4Ll=hBrb$M+m-h^d4nR#Qb1~ih}yC1AUT6 z=Sb~C3y-ZF>!k;iQ?#yKnkB1lf#9>CqCHdN{?82i8LNfSM?ZefC;fOOKytJvyCc@= zWf1-nz0$m*sLZpI5b%>~MFCaf=s=DWYQ_iIpOPi!0cI;UbC~<(=+KesF8C&#x`Vrt zK8_aSvv2T#7D-9S0>cHrNreq7vh&IFfQB)W)17O1=3*B%K;s%+Ev2%QxQu=>eKZGm z8nfmFbzQg^bV9x%_^5XfThwmk+a)tm(&YRS=Fw5Q+O0>Kh4C@6B3)cLhqI@1xszh84-3q^r2Gc!?y5@F_$J zCCNEi(Ha*&|Kdfyn#-2DUpk6^H0O$<|0FY|@q$hx@mZ749~z$X>Pc+WRzUg?;$z>j^337Y$X)Hlv^ zxFe#CI)(W8(*tp(BBIeB0y^_l*Zktk-*G=axfhS$d>07Zv0Ahwo$60_JL2_B5JE%m z3Dd75Gaik0+n(fP7YP1J%hy^iLSMjlTA;fi)Hy9w5A>K7{1y?KH*YeZdJ3$P2ddKR*W&^Y+_F{_A|%WC@iPF@oq3j}tx z(d!LdVx$8{wiuv!JWa^>+?S*tT`T@BibGJ@^3e7qvF+8cmNSB_KMX3}5s=l0X-H{v z=vY|I;23En(0ZC1cN*YuxL5n1b3X_Vr0Q9DneTv|ChQdI)FDqQ5^%kvpZWD#YsSFR`NrASb)`lU z!85Pyzk7N{;Lu3RMG0oo+a+C;Pab3#yT=7BhSOK_=zUi3YCr|q5Bea};`kU<@l?_u zT!RO8*{RlDI>t>5bwJ&D#3`GXv`?Wqi@${Mfukju;bIQ{O?un^Kejgr!4szPq0cHt zS$X^iV}nNHELf?$6o`we6bKCSV(lxhiEC9#FDLN8JEM?E{?^KP@bo|C zEU915dC+V$DY1!HOtuXQnCTtcGq}sDiTPeP%!?t5a`mxAy~70;LM}PDWg?X3lQ4az z%l;2M5jcDH4m8v(Zd*sl#^blj5Hf$|5%>mD{2TRm0L4$h&3ZaT4b|eCB*h;G+)l^e zNk#&OMe=j1S;$5Q1u?$ST*UZlirnR(6@`)YDD3aWwpgL_8fQA13OWdDI;iuFaG!fB zUfL~Shv|&Er`PQJi8b9#3Z{Buvh2C_kxTrc>Zry2aU|eEWR=vnmSF1A_On_g9{>eIXKoExa2}}99cuJV18Tfln zh){Cse1o2Tp^TkOd9)3pU7aBVIop_@Ok}rzdc1(`Mm&uFJU!hN?I8d^Hq@wq&Y6Uc z2-&^VE{(i`>A_Q5Op&flGYl6ePe|s*28Rj3>?wBfCTwUJWs@v23Qn?4LTgC#Q$~BG zfj9*-GdpF7r$9dnSt~E7X6Ht=?8_rSDS! z`W%OC+-IIPF=ZGqGehc?Td*8N@Txp4FJKg=l{=wM{m4DQi2k& zhxC&K5sdDL{pibtQfI^%TB|~8biPpnkjk|0cCNDfRT-xheO_Aj55`vB@P%bj6t7tY z25OidL?VKn{B^yWXX4Tr^pI)pYJHvCk*|MW;e7e~ z!H}$^czt~WJS;X5*{D3t%9u}&8R8`4kB>y+nUKBRNSVusPH#a`c>5oJiXfvV`S*8`=1)AP?J%H#6kZ;at7aVjWfdptYU>xS8GN zI+~RvA=nr-W#3apbfsLA5%dua4chP6?I3_U?9o~c=6sZ(p$q{zZ+-n+22h<<6x^g2 zVdWd>{aKj&EHNSwzLAt8uS&{@1FFmpz1w=M|Kk6*q3XY*eAC%KQGTuTRjb1KDrR`} z{`y&2@A?d~Dh`^*xBExm>RlQuRTE1!J=}Y4hHNBA6^$Dv`VEZSeZOSw8+Ty56NE=0 zM+n6J#R6DX1O49#0huv$JB7TFi~VFepO>~?tMg?(l|rL~`N_=((ed)Y_MT;G<_6^q z$XNrE0KCIjv%a~*^k(}UUyYyGq#luS{QHj}BWgz|$!DS`?%kN^IdHT23%W|~$hSmg z(P8aqhh~4rF|>l2Mdq8@V{92*rnkXrl_p)l$keQeM4A-zrl zvUVU{kREU75SEP|_XBwTd2OoOh;kTC?J--elKU@xBQHQ{{S~`Ya8B&G;W9eBawv&W zPo8{uOr#Z5Wtd;mZNP>B;<-NZbRv;fSp$`YHe zCh~e+Pj{@*1ygKz676>=WbQa>L^x83$`=X)HZX40BN$T zd{;!9eQ@ekVI{q5BwT5}K*Cj%D(HMml7{APak1TEUvq_`VU}w|zh2hs#aARTdA(Yl zE<_!*_@gDu#TuPfB4E07mUNN3ff;umR1iIHX{_m5Rm3o&h#^In+(pQZR=o?OLIces zXA90lo!878)ySWo+4pRRu&)Ep*Nq(UER+7fSjjeUC1W&e7az-ZNQ)_#TWqs+vGO>} zWs}8G|G%N?_Ez&#U|c~~C6^$JcBW^$>2)xc^IxLy!?P38r!Lo@W%Yt7=i`}kl#$3} z_IOz_l6%1knreanD{uE`z<19s34Ebmk%SxTG(Qr4=J=BJ?ZzwVzs!W){(Is7otf1C zKbXmnf0@at*uTs~Fgw-aUuN>(#6@8lF0g{ZRcSqpdE99E5e2Qm?6N^8-&kK*9s41eA9F=we23zaNY+CY2f?Wims?{M`BZ{4isx*s#3Z^FE@LWThC`3(>d&I z{5P9^&P?{ZXS6_(FvsPQwPn;|@zYbrqO$;p$wZpwk8j%KLH(NNtmYfy0Ng46zXXvg zBKqvJ+TFL}B?9I;$I2IDJC)vDRt*0`a2k+Rx_?sl?H2z3AvnQ*3C_Er#Yr3Klap^k z%MJoyMlED>DX9dK;~=5V@r&I3DGCL_|2x!G0XO*ZY_bvnvT%t2Pl!HTrw5=`rqwJF z+>hu3$WGC1XYVs{kI!~%NG6l*e=Y8TeQNA@w?(cfywShk3ybb7Q*?em8y@}QTd3C` zBm#z7v3%)G@#Onp>B*Tc*R-~8bc*QvVvZf@yW)5_i3X@(%d9Dbz^je_Jn)Be(v%;Ew<|wat2mW z@yVwbu?tn~n&ks|AGIR}UM?~+S$nDr-oVwV%|YoB?LJ#JkRbX^Lkgz2VhJbTf4%O1IGU1ASNp~pMnox2kH<4{ms)QC zN(JdR?OTRcZjm2joO&&~g8ENt8su`z<3wf~dXW2^Z8|utC}!i2L*&|9y`;X_m{GIR zIkHB84z0dTrL>tGy*+%SiZ!7lTqZ%@KFc+%&+SUk_^K7820rVXR8=}U;2D+P<1_DH zPszjWXB*IR-CK@5OfkJN!HqTl8U_KFV8r|E_Oi}~j#g**z;0$xj0MsJ~99v+QPDiB8<4sG$T ztWAkD<$L4+aaJ@|Og0gEn1q)pQt>ZsIoKB{kqAvS;6$cEeQDoPnNG=T7FRY*beq+KoD;+4*byBxA3rFt}N@%y8T zLlrxPso_LdGCz%&Yu>36?S~-?+QH}#|}L=cgw`^z8=^0B*Lt2 z;H6ScNq3r-yoPA%Ju)7~8=wyN&ji0}-_tlH$-xZqN$1Kq+1Sccg6p)kqk9mXXr{+PU<0dGwXf_E$SQC%dMv=i-RTF35 zG84wy_oKN4U*n)B{5-sYHi!v_HIiB6`qf*E~+|};ux7$~0&$a*Z z=UlbH{R<$!AV6@IXf@m(I_)VYE!C`Ai(01mxoMO?;7SIVugd+L&n*~1Kxt%yNyN7M zZ!jL#=P)M8Dz&|JZPQqe^m7?#ck7!dzKepCCvV{Nm#ftM~@&orjoS>CXh17X7L`$jcOEwQg0oMVhLWl1Qn1K+6)%HyZ>aZMIeDfD5;MDRO6do)y+BAN zPE+ugiFfbUz10fxP03u4K9yv__6@>6{2$%w(SLL+pcKRjk92r{o=*A)K7Oj`P3UUv z=s}oTOdh%Tdw`DLW6plqrN4iPTlD?_Y1@B#w!EP)sWpWP5x{AZviBi#St11aK2!xW zXLU+Rib7}0hNMf?GD_s=6B&mQjX`0Np%o1@17G=FKR>avDN)t`Nup^|MyC;B_np?< zFzbnR&_AmqF%*@NTpWL< zO$Ip`A#qiuz4STSStaAs(GX^l(FoisW5H>M=Se>2+wk3h(D8!N$yhgO3aAf0`Om_J z)5oNbU``nS8NeU_->`~o;$YS<)V%~--Tf#JcqWRHjskNU_CDzjkTZAK1?3^Ul_`#R zGI0`_K+yh<2%HPE8=t(Brn)=Q@9v(Shp5nt&2Tr(7~`OSV{QC^E!m@g#*5zrWzhby zKS~>b&)qq!{lDYtH^g@ekxl_JLw~JI&`04KO$bsx;s|m*TT3sBNLW<$YdU_jn1O6Nd z`@v%|&Gumn0LU<}Lwp;Z)r_0u&^p2fQ9OPO?dMmt;XAx~9>#yyHuv=HD;5#j+nc*6 z*-yW7LdH?MV_q3mOi&gR??@T^Hn}wV4@G?wvIA6xuk{ZKh?E-H9^k;1 zzv$f~cgFc;n84kt@A8tfl~ES{t%*4-bcsG6A%H3xngQVdPg=pmfEO-^;Cw%+eS*8p z`-`s*A(Lr{S=>OZTc&2Rt*Rm$Q*r%BE-QJ`Y(6p@*YZ*kU>Wz+Z@dasrV1uJGQMC} zVy-ZpEG)Si82vwNy>(PpUDrKKC?FvsB@Kcg-61I<-AH$LcOH=L?oc`}x;wn+l5UWc z4gqPu1NZ$r?>pY#_ZMTxaInwWXRo!_oO8`Jb&nty$6Hh=NexjFC90ABA}KnfL9wIK z$9i3`4dWZ$6OPX9x8(+O08-{QYw1su+zNgHcjiaw^W+?Cg=ugr@uCCR?8n-_i2%nR~z4l*M*l0EqH~=`C(U23*+@ zVYIMRyi(@1bYv+Hzn6S}!aQko#|KOs6r@PjoMh?vCzMY&&86m_$IX<(BB!sjBm1_u z7UhZPYPK|3Ee|f%&v^Vj1(}AZW`DgU7gUVvpyf?GdugMxeZ1f#J)uo8hy|ZYd9iV5 zC&GL0?;P+oah?F5jJXTOEAG-hbKek5>PTw6mwIQ~AUuH!*3$P~1YD7a6v17*>6J~? z_9u*-8184vHgJXZ4yUn%3!VXa1M9grpW7$iyJ}`ClE{6t|C2F%2Z9(w9@Z>p`O5+N z5?JhQjBS5>O$nV9Z=|&A48zg$(GQ1sJD!S-T85VZ`ILG($LG#+ZE2D!*z(7{c|t;` zClpN0KV^9(@ptY%L7`OtK%rbv2%WBZLJI^8gFzn^9q1{eeN&}YVlkR~rg8+QE$|*6 zI!_;SnMO;mmo+MlR-nXi&Q9hKL|>zl2wk}qX6W|S^HaA=K8QGRh-+8_pXgTMG@}M| zUkZSj6xZ?Uwccw*hWC!@>uaUs0~G=b1lL3vkMa2bA|nWMVuKeTG9rEcA7tbOr|Mnv zFo)3X9$TU86F5>*5($DMZR`>7bCk$^Kg2myy+4a$-WL>S=FaYub3jedBms`|+Pu{5 z99c`Hz)CYt;}Nl+^N+BU0vKF6_!5SB?C?Jon7v-{F>(B~9%8ueCr9;FQ86nH!Pl|V zb~52lO>C1~K9Ei;3^*fR(?W%@<1{bL9l1L+Jl2hSG%}8i0ZaM`$@+)(4>(V?NWli{ zis?UX3@ZyRN6b^RxLvuyuhHeS2MZg$zC; z@}A)LzlF)DIartI^?~~QY3gkF8k2pd0wVFarZVp3kO}}Jlf2Z<%*&Ei^VbW!%^A%5 zCy>U#sCwA%pAZW?#@PC}T#1IIsL|~WGspXf3w0f@{lVdIzBAcffo-mYQ{1q_TxdyX zjV3D@8AOHz7|r9S+A`MIhbvd_Yi8`@B#!Q2aTxhYlZtqIKH?}p`eu)zKhsMOdg{X)ulpI*f;WXdKY=YpEW4%weMU)Zkc)b28B2 z)~qUdeCPWHz+3ok*s;kiP3bqUF@k;V?z4C4JcT~LV{}i%8bxD`> zOlsV{UyP8Bm5&$z0Vhi9p;ZRb=~tQBsN*>U0FmG6yQtvQQqk~k4ny3}dxlwR8p{by z_WX){!~$kwguz@sg6(liJ5g4BSc~Z4AryvSi;q))d?5yF1gS`n+0J;1zNV%AS4|>D znYMNYC4QztAajKv+Yx6G1H?YF|E*5^1QVEARFShp}C>ClwB21T@PH4j~9l3+f6nhM(3QE%JaWbeS3 zgscVw-(sJ>O#66nuTiQPF`nrx1;mY&3J=I>NRm%v{QM$w=CtA&`<(3W^UK5p>ZRS9 zS_U+|DsBkiX*0h%^_AjHwpk!U^4KL*Ig)|l7BXz5MML-;=OPa%!8TOlbGK2e(qO-p zvT90Jx*uv#*L~+r2xT#0a&uWLP3gtLr1jFZB1hp)-;A`fd{+U$sd$C_qW*m7oreDT zR}F}vr7d(U_*Mokq?|cH zc3z1mN)S_BDhc@GT&kao-H0@zMHfbqRl-sf@n%C`*Kl~b5!Z8x=Rmz*o$F=YYAoZ0 zxyR)q9v90>IsGDycUsAjh7@x=l2F-(?phpV*UQ(`!gBo^MC*eUgmUmKncPps=)S4X zAz)&p>gP!>yw$ORZ-(ZNu+)SmLA{y!UyNXBno%?u695ESCf4bQXVd?j)9*}yt){B0 z-yb*p^mGIa-<99lgWZXosfJ>*;XmrHk&83)j`+%B2y257$Q+ zJOLc{tF9EcaP9TGwU{iHy?=VL@?9E=y!s>SrO#3Cty5<_CL!fKX0)nNTa+KTHY^qC zzDEE2Lf&Z8t5d)s`&l3;l%qsvZTN0?%W&=}Il2gVf0&n5Ze!SuW6@)ceO)ig+f~1oBI=<)y@VBG zU>omvcNY6lsr%`tH zvrP5fd7M+Q_7BsFKP%j@DKFP)O)7th$@e82s7rj;Xk%qQHZ{}iUk^BJ*>P^|YKR-C zVS0MZ9?#jiZD7RVam)$5eY>M_wpOk?zFk0SZez_Yo=(-h*vb<}`c|-=1xu<{e@P{Z z2q9v?Oz0`9=Dk>&kc>LR{HHeCj8++8{&Y=KTcv>z_w&r{F-)DR7%^;9^sx;hD)_Nv zQj=!cV!5OPEc+52@Bgxp&zkDzAYCvmR!1BC4GefoXzHrsokANwy8NBBXXMk0DZvYp zNdzL+l5Z>q!^odZH+&A%S6++>y&Qpz8gOhn5?xdDaYytK;n~jKU4foWUFQm#H8Za@ zw+l+2kFn6&-zi7@1(7HF=i(K zdD$`|8dNz$I^_IhCDrfQv^xRFxix&Y(OASVHDAYhpn;rhD2z}flCGW^Yu zK27{KkhOFrJ0wa+)&ScfGtUtSR;=lB6?vI7p-GXG8SR8ogAns(R0BNLI_tIP z=H*T*QkIk~BSl{%y;1ukchn!Fl%d#K%EtJO^|i#yMZKfr2Bkha5 zZQzNfvek2SuMFHeM|c}{JClElL=TbR*dy_zv<#lL_cQS;s7{(wG_QP1jeZiwhW;tUaC;c7lC+R=-=Oq>t45oh#u>IM|?_CBUAUpRbyL(si((TV?aISdv_^e)qc zi6xJ%(Su7pfSx@1W8#w22-iJ11e%&5G+?pZSW$v03z74H{^2R4tS#|Z&y^!z^U z0RCUgbxD2!Z*+f44$zTMUG zj|h_jvP^y3Q8BJ(b*CUDl`Omd&G7%yOw(wOG!qvp2!Mn7BaHIZV+Ie`qc+f{3kFl& z>w9gwhIsn{?Y0n{Bqy>qc2yl?E=V$|-VF+JFCwUT^=o!$xq&2;<@?uRwAYW-px~=J zo-339b-=6fRB82*N&(dwsxQ(4WR>I;tJxk{vnN+&=fnSyl&uRB_sp4x<&2Ixc^UEf z+LKwBmqX@2qDLsC0f)6onXzWKpdQ9o3>icl852Zw+fN_>t5hgm;p?oDZpXhP>=y*P zs2S%sz3vk9wj%*xNd9fYc0#CxRDyQ=^oBR+Cf{HUwKH!eSN!OE<%8Jev(t_}2Q z&vnCOy`3H9_}2I_P*M}{H#6{v7& zNWEk)a^Bl4`j%m!W0jy=R7?@oT7&VZ>%#F`_{)s=sOt)pvA4fFT1>=EbOP?DW^Cj& z8_q6^>I(F?-!}hB^q4{6nh~b!7Q30Jp1hUuOz4xBDbDelynu=kY)jUl7yKA_cYcF7 z2gBh#{{zOi59Il7oGP=(moi?T$u@Fasg?$$ZgkFr$X0$}WE%adNMAKhdmo;q0}Q zFDUI;!*H0__ml+lPD=JH~D7SNLz+R-Ob<&Qt`BPRn zQMrCmQ}fO12YP=W6N9$awF;?vRTYy&_4-{D1fMLT-y_}?xynE!?skj-(7h#AWAz9D}MF9Ht?2)^MgPU(_= zCoXDSaKZK{<09oWQ*~1-6di^qGFz?#NAuUoO)|%sQ$SNcT~nqj_K0y9di8`Co<8{9 zPt7mH7Lzi+)&|dLH>g;%YBz)S9qWG8<_`6b`me5K*pd|34_`@c06Q;kzb=EAfmk>l zzrlhGJ;iE@rKF>ydb7f>k`RdZDZP2PP8BUx1iE3w=~`>$y70=)R`>q>VmV1zz&iee zbPSt{*E7|Z+&@558t`DdXHSl6WQNi4dkhH1kDKpFNGA0jn-q3rR)bw^>fn)*2N(Mk zT!8A~kE0foTK9~OdHxYC(dA`G? z9v1ps2uLU*L!JDQV$$o>r>f}=#+V)1Elcwx(u{cuIa>kTsz}G{M=(VUj+TGeiXViP za0#}sENCGfee%rlyG{hA z>mgZ0m;(}!tftoEV{CM%$ftjp9(+^5Le5133TjRvKZ)Wf!=v|fuO)M}Y@H>&dHs6n z-B?Pl#J~Tlv$?@s#>#S$dIw15ZR90~XV?78&JYn6;R_ zIk`<@5O&4a%;#I-J|Fpm?047U>T1oo-OHY$j*MGJw`|oXwc7O8k-h)KotHXZC&$cN z$m(M#HpCd_w31Oh6K;|rRf(T$#n+blE6#@3mI^024n2_Wr`b1Ku+WvoZPs5$Mru3o zoh}&C%l=vK(+IDPgLGU+eY%(btO3c#SywrF3O(B%t|alawiITjU)$Rm>J84kLC`N6 zUfs*eZkUY-EoSs47#Xfq{wn2%62wdrAAPZy-IQIV>2Uey$T~1C)s3 z@zz~y`BSuGpsRXi(0=9s_2x6{oYx#1Q| z7^9^kQau}DMv{jeHCi$|0P@N|b-8^&b3yd1_0xZtWrL)9Y99ujEKbKzyL%s3t2=c4 z`M+AKvw`c^W>pPN?Xvxzex)@0Cg0#0WX)cs)D$_o1&>mWc%OYX4b{tUQI*!$2&c!0 z)*oP15E}VlPXVxJD4U!_6gSWTPMGCXeDmV5YSP5r@+%yS(RBRl9EvC!iq%CUd{X98 zbu?D>FDb(pRbYvFgPHH$df0|zEpRG0Z?Px)nr+;`_Gb7dKa#wO8)AmdAW+9wYaLqu z$TZ*i(i7KPtz5dm76<)0Sogz$)NNe7?jsM6_G$WN`s?6)xWb{L%oWIda2YgEbD{bg zc+V_G8nq);>ZSsc&7jX(l!O4?L_OY}<-a&hswO^jTm4K9X9K?NL>#R_zK!39i@kH7 z=uF16pgVj$)0!}L=M-cr)t_MtZk(KdI1hSqiuS8x*-j64>W%TqBjYm`;pAEqD^Q^{ zqD-H?6_qp+Wr3j-W7O5^Dac{SCM~odQZOv7Y$YW;u*CtkLK>CjxL$Y9ULFW)mON}! z5oF!k4p?~c8kU=X{`EUHJHKlw(;ix_f)a~mWNt$$%P7h6e4UlYtzq;@iF6yLZ*Fre zXuL!9gM2Cp^*tERb?!uF&ylsB88gJjHOmrnB>ezzZmwMnj96}|YBA0n74`0sLOu<# z_AK_t_I;l(h?TwzUC|IyZkH!3>*k1CttTl>;ct6~`+Wii$6<1>ZYSyHR*LrH>j?kU zqjX-%o~;^hdu7$00p-Rn>?pW!55?)Qs^TnO&N4Mf+WouwrJ>@7t;Mp6IQ}TG2z$fJ zbD|7b8NSv~=BJgEofsy9q|hE4YYMyH;|61sygfj69%E2+=E%yBlu?(oR#uZq{TTSk zJN-U3h`Fw5%*x7flrme|>-d->2YZcpz#F{?Vajop*Zckjw*)bA2K9lUg92*sl;-N%Y zJh;q1H!o?nlu$-X72F|w5K_Y%j+?i-p|;Aqf$JUhAz#^ekY7Wj0cPfG6iLorP7ViXLrRWj^UK^Y+9VNNKS| zGOkiKv7%0byJS>lqBRyWsQC!Qi1la~ExozpuujU0@A1KjdoV_*80*%;*wc40AsN6$ zNfqb%aa4B0)Igcp5^7qIMjIfws0}PC)cRvKs7$%vAJDzm#iC;jFx4*#B$vOfq2$qpg@Ba}s~Q9(mujtDvt6@N|z z1CYLimegPO(hSY)PqStqWa39j__0V3kYIxr0>Mbi=xSM(xeo&7;S~;9DSD>jU%g4^Qf-_i;y&Xd1N2u}0zVA%iX{@?+E2@<eJg06ddJHW5+RsIVqzw)K9FYWA-DWpNCfQ@<<&a1FPERk z!Xn{BIwa4p|BWzrKmRaqe#&!K-ZbF<_mx(3_7_U@-=++Hhrl%qfnPkV8WHUOlKcz$ zJW2jF!G1JYqH+^gT$qMkEOf(i%$%Elo7l9r8r4;Oxl7Oi2jD-HQ?H$E*7p3ead-F< zE_{adGD!tGSS6KnyFxWiF{ItyTr0Rqo^4I7cJPM>2QK^=ZO>ZP_KjUkcs-MLArz-9 z5$W*~5lD1bGPUA#HwkEZ&*b2FF9qT#WoWhrZ(RkVW1E5A;92n+R|XhZFOujrD>)m}-=)-M_>-{s>V z;p*C&(CNp(xo=6^pb7*Ze(?bMI+IUKRj!!J6#-@Qjg%*y;deBPL5Yn-nYR-q_Xvqf zb8gPntvL94-3x{v%#O_<^W-3+C$IFvNUQ zx)eP36v0i|cPj8S(!$dKx7drdk%R`lxAA8=k`^KjiPUbD|N`J@s|YmbTsqk-^(S1d%= zM>q-W$ZYLpuirdA7)9m6_lDLMcGGl8I^j7bbjLh<^6%7T0R3ex;Sgn}vVT#D^6&?9 z$*xILGwrbwc#o{wa$=<{a<*!92iMAV|Cai<=uLujH+g;u178GkvYi_v&RM-nC=0S+ z*?p9K+;oghv~db!&=YOgES&DP{i1enR|G;3OHBs zltg()^$ZeQ7TxmQdBl!1AY#Qkp*cQ7W-D#VD~hB;)j_U`sW%$rW_c)K0sq0*_Bl3uuUH;K24;m^e5B z9fGTbdJaCvBozhv&mvrRZhT~`5u^BtNat_r)#p+tUoOwX6 z4M9&%rQZ2mk8G+^1k- zIg;B?!ee=mO`%M{OEc|cyOSedEo$xyAqUaGD6wfIKuB5gMGYS8-$&m<7qW$IIE0d&O$aw zoKG6C6TbZZ{l8l2#@W!a=gs6 zcbMfn3Q;~XDHTE4G_{6hbwFV3|;4KWZ#~wHsD;|C+Tgp^VuAct{($h!lfRBDJIA_9wEb+i`zG$=-*4^1b$!d(>+gm$n8&^)ixD(i@SKGB| z3iEEi>o2A&c72MsGGq;UgMS)GAquf9eVkm4uSODg`Z;h_4fWF7=Dt*#3JlvzF4l!G z7eMv|Ca#7{KKx#6S-T0?$#zaHaX&e`V(Fc6^jc=q`!M&##(Lvc7>8s>5FeNK)#z7p zm~?hWvJzO~rD8o{|A0Udt!z6sRUl`qev%eUcQ%5j%+JHnnR-S$5@lFMF&&)sCt=@&M^b&Kaaw}q!)E&P(n32;aj)gS(CXL0v`AI`Tvo+&*9 zip_YhPn4W(P|>?5;T&9jfFh|&t9_pio>sTNrF(?NZC6M?A4O|)D~hj{yf^qX6@{2M z^V5UQSA`!kO~A<*55@(#d6jRXm{A=p%K<8~Ci-ozt?I2V5e->1LvBKnQ{rJQ+?Pz| z*Wulc_w0lLi|Q6%YYQOSi)%P?v?Z|VS#jnz^=`&52N0uwEP^r zTm;NJBftO6e!1wQ{fs1JU$&~;*GRv`YjL*XkIcq6k_23=8}dE~r7`#qWC8QHbae{E zy5f$T)jur~*AGE&;iVy1jX!aS%Ge~hsFi4}FI6A#Uv|fGzYouZ`X+o=lkOGTG`J9p zC@SRk^>;nekvTH9INph>-B{}Lx4U4Yw?8`MBB^fVqt#AMM~xU{BFks2I^$uN~jD#_Dlcx3svI&5t!mo$!LSq`vC1 z>Z_d*Hk1Zd|L5={Ii{vY{s>OYnBJyW4QM|Kh@7SI z^@5G5!|+`#%swp3A#DEuRrb6Z>II$FLnVOKR;}=0S)rht3IV*Bff()pci&E#^hGSK zjQB}b>I0aQue8CO>^_t0!zzNism8HehA*NFiD3GDj;AqOv*(*d1u5-I*g=ZaDnry# zJIyXH8U-fZVSm_WZ;mh3BIXJ=ZsI0w9o$c9 za;|Lfo@VS#A3Py0PF$#NSTDNG^HNPi>b^YKV}v@SP|oZnvE?hruO0lD9>gOdPEbxY z5UusRK`p1fWY66R-Jdy~Dfl9HNYR8q%b!3r@8Q?Hc_S$)yC`bgcNKeCv2gZKEU-^H z`xbboIdtExcBH`*uv}ohvCeJ!u=V0?N1Y-Jnq^HGV$BvVUGWoK9FRP@xNUa5Cq38U zrS-P+N;coiB;G{x(7OhC5@-Oq_`~qSQduK5(9*{EkMO))$dcMB*z;OP$H|*JB zS?R|hj_Kgic=zbB&xzdMLP`v;{F}U|0o}d|u{6|d?y7ajFI%x7d8$==67+7r#yEoy z1}F|GR5Iq%U~0{9u9Bx#Dx)Z*;PpnBg|zSsjVaAQHk?skbrtp*Z*+nEQH$SH=DZX~ z#RkYc-CZK?J-ncc0vE~4)uuMOluB=gv@L@}K;wSdvU5hcSM%)WJH_29Y(X*rSx4Ent+grn;FtiL={LD+g@+39U^84{NR3AMneS%DEeVE6yLCQ)D{-X=)QS zwWJ$?!XIm+rpsQz@K#6TGNHH#wk^FCJGCCRp6F-7`y3L|DNd2*_py5N&r^vt1HB?BmQfNwgyk$azU3<-SqFyb?Wh` zw`ki1;r3(i@^BDU{ew+D*?n5zMZdfo`a%WN(=|Qc(R(%e6NkhUoypvNr?U2wXIZ=% zvc3(PIQS0if>}OT@>rA?&$>^GJs=V-s33ddE*LEM;?=7&)>345u&ab@?pmQZhgpYB zZ(aGm@8S;{y-ask*5F7qM>dKKIiMfK-gseU$#@5F ziYbpa%eW!YFDGh!J>jioP+~{dA=d4k^N6ZQN1*%u@z;yy(;8MkI(~-mCGWa@JFu8R+jkhtLL>Tq(XC!OTK4Jamoap{x`wJ>EYB+T(^ zVSNt>B{de^Ga3y?hDX=DYxeWpG;=KqHJ!w>>IPk_pATM`HVqri!>&9K}71zv)Nqu`ac%8j|BigO~_bhgrgMOZXOy+Yzh^#sP z0`{<$5h0bUqj2aqiw(_sBF;K$4viKfzbU-z7?VieMF4FknAw2Xj%XUilW<;&K)@*^ zqAkUeeJ&PPL3^PZ)-zK~dZ%P+>#G#rBy)e`op?i?&SLF(Cj)njat2e)=1EqwrQ?VE zR_0T+A^%`MT$0U{^@m?sIBd`4NO0KlWeQ^l?t4=y6zC=2VyW?BDSCx`V;1>Ahxf+- z_r>e!uWUd&QE_xqr`X_Cy9G{Iv_j!~B@q(7Qn4G+1153SWQ@xSQ_ zWYtQ@pKV;o$xs|)zpfH4Z)iyHNs?R?<0RKK@2ax7n94V7F$#_Hgl%7fc8 z2^JlOmVZj{bsx_wudm)FJ^oz6S@m(%FY&)Xy#s_GBNyN^@Y8h)3n+--No__ee#QD$ zjXh%fUI!Pr?!Tv%Rp_O_#f8UD>ab30iV%+~f}dFLW8F>M!v`ICkfn*$cVBE3zk16)1A@#k9&_HQ%polaSjY#+zkVwmS?K8?2Ci7sj^@gC9SkXlXrXiTn^h2c+7p|i|F`i z;*h0Swh2c5&owz6@p@keN_SYGVv#W`qd>!m$7%L$={vsosMG~CDd%T2?C}l_dtJ36 zmI~JS0+V7U*3&B0_23GLh)2m-{;ZczuVq^3S~r`1%>V7Xi}QKndPlL>2p=afI(}7y zLqkDr*rFwcy#ilX)wM3M%*}H}x6&ebR?PTVL?& zrb8X;m0Yo-2*wZnoaA7GoOWbMWy_ zE3>A)ti8vANz{7vzDkH5r+a`Is~V#IL&a=zc5s-b`Z?akFfNG}C$S5p7)7zY z?joC+_r^V>=BnMrBpg6De^xDEJ5M?S4Ue_+w{v{nzgwq_X#&Y^ANcMUaU|lcN`2{#CVXqj_@IHkY<>e}*CW}pEO7jc zj9zz2l)0J$LZ{tcR61Ka7M5oa2nO{+9mWDf6k7!{tJtE?tRZcWhDtIf;?wsb1{y(# zJ$6bv(r&LexRFw5xhpKc_FO=L(L??hH*PRsxW0&LA6PyLY%6Sofhpk1xzZCbtqLl$ zw+0Q+)?J3*`6RTTIyOB1lCqQW5p9lNB*Fj3{TaCWuVpD!BM~@*?ey2Mb3EeRQ_=ja ziDRTM1=wy}s3!rmSwervr1$gpxTp9|i`#K+cyUVz}Ai%KGMd z+M_*5^gUm259oAyJ30p%*416CayMUHJ$7x4{ML1Dd&)3k-90_?LxAy1h4JgW&2Jyl z$z}VK$hkA}c6@EbhEy@-UQnFOxq+9Jk4+DB$#}+XF1m{K6SJn#Q>MYtKBNhx&sZR! zul_qP{Kp%YHhdwaH>VwZrrc|zIt(ga8SHnX+aZ`(1V4UK@F6Ssg*^W@po*4&ZOUCBM+efj-d zFOV&XL#Vkw_2cK5C2@Otfq5iRF=!^+N^CDaN(}I@;WNn5ImZVl8H;A-MBsU!=TTzu zUDca!vwWfX8R&=lM%^nSW#PqRq{|iCfbOO5J5iLD4U1x%-0kp zp+IEjgUA?9)haT$pL)<>?l8l$_jLY~Lg?(%Z&9=& zDJSs=i#8G;q`%@2m$NA8W5#U2TL8|6>H33myM z^{w=k5npN=$jZra0_!n%q+)L}2MukYGGzm4)@4uDy zg6U-PRF1^)cX3Hd)J^3e*z!rch+6NGsrgHYJa|?)_MyQ92w4)3p*f!$fJ;p4Ytp>X z)5xhSs-O6}OMZ+xt#X7)YX#@v^${|P5HM{>>fM3j@CA;dDLqSX{|1h)<)X=89K*4f zjwO?xZeOpQz09^lYA(R`ME0Tr$`M%^XLH(v2eG{w$k(p!>~6Zz{6-7nL0` zapRcj7|4csUL#hifrURRP#mpA`;Dsp02uk-`J*BEKTG_-*#n%b{Mm%&X?0R2!S5x8 zI@|+7vjtk~rXJTu)WW{tOC~0b&)RX8XG};mTqg=&u(rD`mr*Y)=)Q`cw@1Vo(`o4c zHxr#3{hB*_8~|zHMvoLsD-XAVrtlY1P(p}W97Z;dHrhP5FY%_gy1<|+D!9hd^C?!7 z_dp_{l9!r_F^(Y#HKskV%)6vzgk0Zp!hE!V2A}G<--X9k$Kn9};3_El!;cIx-qm4` zYWa+nCtFC4h&YMG-?O;?G{3J_=koz{xfIvjOMV+TN^BCVDrX$8(#+lE*bI>{G=U1S zHpJLFRnFp1*8JSvmL-fI`yRBzr6W7#XXIXJo$>1B^Jo+uuLSXY9NIrvI8MYGn%vXY zHyW{;qV=x|v#!B!qu0Nk-C1z3p`O3s*#uPs!3X*pXfPYxqOH}u(ti`jd+nJSUf?KD z@UXC>PF@EsjXTtsqMRaY1r}lp zp609O0BUgASm~O}2QlQ}-9^01G2`WsCH?-qowFn5%RyTMDV;=2W752MJm@kNUI-#f#@bi(}uxU;MmlLWlA7dz4p(J&L#AJe%#fe-ZCO{qaB3*Pc8u^Fy9I zFp0^dv#xF?qR`8PN7fPE$p=~g4d<}Yt4fSEn{(VwYf;ltyIO1@t zZ&kA+4~sjWnv61UVy?fYb2zci`(DaZDh3+$fppff%&n{IE8|d8I688ZHJbn}X9))k zE*xmUevkxWp6ilVr)hh>BtKQQr(w1x$;g~C_glR16pxoJo0dK)K>KQTJS>0K``mBW zcYFuCn?HiKNu9es*J|9vWYod74NmA#oOaGx+u8%oV^E%%-+r?k>oExPfXeU!6h5AA ziT0Y3)byqACud;WZmFOJh`({lSNF;r#g~2lI5D#*J}7Di_OeDh`Xwx^PK{Lz!{c~_ z^f=j9PlM_5dIl?dcT|JHq#1u5N6A{ujc6|ng%M+CHLO*-@_8JupgR3xG~zvff1Qa} zMZqZ3+R3Hk^{+wwvVvW^%D@=ij(ElR6j<4s{di+oxYgqt#Y9-R$Dhi{4eC{{~N~_d?|cWumWFT-Pu1%xQq9xH78B4f*6xuy}j(I3sVtj8XlJ4;5*)%H;7U+nPR{YtgGm?XE3W&{L$s>9H&1KMj; zb5o%b80qsWBm-Q5nx35^I)Br4RW5L$IN0mTT*W(tXfWI3P%$(Qyl;G1f!B>eJ8AER z65MJP`zfpLJ_IvH4j>vDp<>jQG?kSR|4R6Y7!+l|x3ahSy$&_=&qr`97u<6Drs~_H zBLhd{P2YpiAa9(^Pu2jnhR4v3EbEFmtj7^{BPs3n+njFE+Puh zrA|wd9ehEHtPDFPZFVbSP8{Tgyqtb38dA-5m_!9QRnI4pycHEKD)SGqsuHau-(V`G zyr1os{adn&aBjMHf4Qs8wef*&ITyB*?VgcjE3-9&?d}()lU5MWD2FH!l7CgcQ~3f9 zugCG3fK?L9>6CM*q7Bp9UMu3JH4P_m%tPWeGv(>da5o-{UMWKV0aR=IaWqld&+`H~ z$1tszE>GA?^4pWx=bd+jo>AgCy1EOCJBIMwU&<>Ug9A zqAKJ~xw=6NRU%*}&G>cK&S!B#gu^#RDS(;|h4G>y#pdJRlbD1T8f`6qx3sx~24BKt zbiaTGdz8xgBvO74JF!+0?d>P*8q3PJ_@Jj%y`=ZngV38|E5YO56X)^>OEZiL*1-|8Y2D+m3Q#<7QSVw zBfvXdbMB!(;OZvi8v?m_NrttCB{O8+wzBqjyJg3{=^=Vr7Sp#HoRydxE=%|3;s+hh zNu<`AwX}CJlxKC)Rk&5w`3UJ5>!~>`bGGz+-+16Xp`Z8?_&AIfU+~&DcGHdy6!)m9?!PHeT*H zh5^FCQF-`8?ob=>EUN7F1Mf>jGG803&+Y57 zF2_9hkp@4sbk~M6ZC#|hj>yAg41;3>L#-^>Wt5h7X10;5uvXc>EGp=dkk^QQNgAp_ zHO?VC#pcMWotXd|#`#G95@xg6sMW8UtZXM3O^-@eg&yD#)Hp_0d!@DG+p={^R^Woo z`P6O>mSNgV-5Y&0z}JUwZS)EU8D)Z_gCrgGjJfwIE;=*Q;L^XbqFIj2xa-sJz|@b2 z3CNl)t3-^Sb;haRJf5)u-+W^Qb7T}>j+LsDw5??6h8zG-WjX}eQ5e?lZR-_GOZVeE zyiTn(!PpCA7|;JY06r%M)jw-@1x4@@72Prna*!Mqoa!`atQ=X{rKgNd zSXfM?^7spvg-ffrafhWcpb@j%;V)37h!0yPGhSZ4WaL*Y8)wH{?8co(0&XqT-bPR) zsB|a?kCK*zq1`*HX&cx@w1)i(S}V;v&8}lSPl{`f-*ZiUw?X6aLGt~U+90(ma)*q> z^-_P>=sm?&B_&)u8PRYq*|L_y+ z2P+KCj4N12?B+JJ*&E@E0iJIvOC0f&xP_&<-Q^}s^&vhUd55RaTXYrcpD)$0`y=SM zJ?ql(GU=*P8P74Ilp0+Tr1!uWwlFPo5MIq}In~ileUbux$7mStdLHld&Q4Lr*rk%F zPmA)dWDTwzx%2P1Nr;qGq+?#1znM*vkqC3nlyubjKK?-(XMBhmcv0HhgbZ?y=>|=d zWF6~j2nT2ko;i>#5mNppSiirLn|-O)Uo8RmA4P3uA4bTEDJy!GdGUzku4Z1$y7Kd@r>A>YfEv2t$i zH|2Tv1xy|oug$~WF}(g6Bsk^vQb{7x{3Vf6I-}~F@zeldQA}=cqik!p;Kp;g6}Fu} zlDpyP%g?k}kuCc1H`cV-6W88?nDa0~vKR$5bd^)K>eWB@OpZ&@)E2SamNMkMiW;)T zGD>M=Lh6*}PTq7}$Sry*~P%C8J@8L-{&Pu&l7yhHF3>B4)I2k2fc4IARVIIjgwD`T%92@Ze@%7em zQMKW_FCd6?cL_*0(o&Mr-6bv3T?2!3Nq4t&ch`V0gmfdF(jg&m7QXNM+xzVEIom%G zX4aauX5G(oU)OzoD=y9_tpDc$ZQngq(#@TpNyA)&B9^eIkYGv?CEV*Y{F(FePDqYN ze_6MfTX#+L@Ntb{M#>)yIO95|3 z<(&v$2FLMGI&VjsdPu+iW9@F?^Fe%tXGrI{W{{Fu5QG7Tg|ZnWYl7%s z*#(l`PJIcrWlXP*Hakd=QkZWw{5Jg!oqgGhsJZ3)oXxv+Q}uw$l9$G3uX$=QJFHDx zZ46O+XAcIFEjgt4Ts{EnHR^j#pEp-$v*2?V(-8Hvyfrk3%bKqi1#mwjztCq`h8=-a zN~rUTu?uArwy~#1ns)Ad{44>iuU15WBq94r!Z|F6oS#!Iey zHu$n)sTW2sdqQ2=i}~$N@h6gn5dyQ*$=YJBAOv}m6OaBwca{Z<)YdZ}jd#k>7qh@k z!TY}oh>iYQQH<7ofEYIs6SEj)Tq!FI{__Y7(CdSB{*t~C9*%K4h0YMX@uIx;xuziL zh}#&5U^u3)I@ALk1YQUp9)(QmqQg5stW)T}DYXChG!sPz;m4aB!AHxL@vg@rqlM)z ze$v%H%9;IaCj&tv;$EG=#w2Sjs6Xp#!;;IQ&;5=g5J)BLNNu^^?~$JMD7rxFaFlB7 zM`i=7{|*4et)o)>1;kCyMO}Ut0Fct~r&&mI+XeVY0K3+>6=cxNq5Rv6Ql5D-DI#fkB?_eh477=4!C3&re62Ht}RbKm5Df!J`<@I;;qq_kOTg z`NSAv$PoNnDQpS$X$+z__~-J<#+hL!{{fj$&W>P<2OXV{0@(Y&O3%sLpct8%=1k*L zOOd-`Yoz_@R2}R$;x_RH=&TBeTs0P=jNGg3-m`C%E0pVNlffTN4%dwl8MeqYmIGa_ zke?I>2Ie?SS_+JbXVW9@I^G=9_M>!1VC=9>IuH4@KdLq4tP;Bqa!wZH{Vi&G=XrqJ zka0j=_C3`x3$dndIK`?O_elss-YMpFUc7Qv_*7qjb>XB7O!C0p(!?5FrC43?MiM%f z^|X>RfvPl^!agdlQ75T4vHCaD0P}I?)?94;yGo_6OB!Y2v6{UcGzC4u`zepdgiwNQ zjgLA_qEVKW+TpNZNsbf}Sw6*`_{DIbM^x1v8bOc%&+Er3T#8b7!s4{H6AcmsyQvaZ zXmA=tW&!9sf5IOeN44=8)Z;oEgVwBcBHsu#!u&X1i5xCX~y?Xb{5F!#PigVgUv_A7=phACfIYvrV{hLgFvGsil-{k9zg`etCmuFzFE&leV8STzUc=(;?B3io(=1BoAW~`go zRtkOzSPVCF{)RC!EYnz1mXt-{fri*Nthq|*b2w)2c(*^<*4b%rAvL95Z1px$EHL-S zz1|X?qdxi6BEG2Gmi=7~QUGkJ%yD*-SN$d({|L0x`UrabT4HOZ|@>;j#ZVhO?Ibbb?eao2*&m4h}B3j~i(g{2DC4Ef{ z67re<*J28L@?bn>;$}0%#1}e!k({Gb(QtvE9_mZ1LqPN8s=_)X_k!sDHgSmHU~CHk zY}{m3mqJf=aP1B0)!QVF(aYzU3GKD5|(1IEFpL~*zx2+Rs^5Q z!833{%ANwfTqOj%#YQyT7AmC!&ex6_lcnh_oLdfM`JJtkzEBk@3pKSn)5WiIPe{=gckqs;cSgVl(0i8P#`v&v|k z#6hbUK=Na5iks|R+!$e;jvgIIrHQAAnQp_)O0qNNkguqH8h{?b6~dvgRz|dX!}9hZ zgh$g4wuj7v36W<7X~`%%@nw96;8>ACmE*xR@F>>0Od@kW6XN({)N1gS^q05G_`el+ zV-?coKhy=!ejPUfzFC@QJuYr5TG^>BoS&_KnOZ6#>CGJ`+)cv1|E zuYCr~h+*9Fbca!;;t9$&&^O9m=A>2NvwkeEJhCQm4;lPRb=esrUT$-IhWMZ| z;L2IJo>-wigxFhMu_r%5iyGA|6LV%h_Bvjb2TAnBwE4Fbpm0K zWBXmUK)4LT<#mOm!Sn41Ks#Os%h{Tz5XMh=eL6YrtJ99yNJRPOH>ERVMAZn)jV7_N zg1|=nXfn1yZ;YAemVysA(-iw`MDjrpdMa*S;mxpUOYBlsQF+`F{c_g@^Y>Ec!f6uk zd`5A5e$1FI$45!vnK=)Uo?PZ3u0xvBhY#b8L?r1uRaXs0Fe9OGEvrCsze}&X!%4RM z+HWglGJWn>V3zf%rS>F)LrzpNdH4Hj6_~KD)}89OEQMD2d65d z1<>EQ*Ghpfd;7uXa=+@Mmif(@vc?j?v1hvd?DW(JXzWU)yZ!8KiEnV4uLIe;(Hmjx zf^ov59a1ptMF1A;&fM7bXT#>FQ?XaKfNB`Phw9ahDMuHs9w)~vTE_bzR5Rz~r)4+g z`Fr9Tj1dA5X08EflE;(vVRDRuhnkptoVAox7J@}(d4(I5j?IXyMT`;NfC4DBInkJB z6qHa&OSA&!88ht$zJ0MZ89c*S!(|t>XV*P1oOI7Ph-eNyzm%f6g{T~0srd)qE`3^; z@B!Sw&EDKzdXZ$)&^KqEvjBGHH-b0<6b#1D+q|X=Kt)PzLMr)vt;p)GqJDO^RkErZ z+R>(UC?$SInSxRmb-RBkv!kjH?aAI~hYVsZ4|u{708upz=X+^kw(Ai#fC6W-_x-I> zo@~64{z1x3nUoD+u`TxeLOXjOEc;ct*J`5S@10JujL0T`kE2HMj zHBy_^Dkb=8CvNI3NWkdOA5aW$BQEuwD5{>+SqR;GN@0(q%=Odx&XNpEwpk+>h4W10 z3vu6Uz~vhk(qx}!m3oRWV+Ms(6J!RSt7njt>oX-5y=dl|{TcOA#1Suz8@p+vG3Nz@ zm*&l-@y?!wo+*PYl6U$G8QQnt##jy0@Yvhb0u7~9?8%RP74l$r`Gj%ZIvF#dwxgR? z&O0eWv1K=dv7_Rx3L2@TnP!>TH#UHqmL(S$pO+(o$LIIIkQUAonL=Xg(-x`FsC7oo z=L|LvBwPT>J9Vb`51oyBvgG(|wd&GHQFzL$?|A0(HM-!IAiU$e;nTlO)Y%RWXQQ1I`c@+0Xc=>;s)tHFIk4-&QK|g`B6Q*v$B~~Q4KS~wF`kB|j;1u@`xPpKB?RVQc z<^q{sq+nb56zB`N&|%mWf6gxRgIl>D<+F=D5elR){#VftD7~9vatv>UP5!b{G-{IP z@`>l9_T~OElMKME%|Dg{+O2v?|Fv5QA=0B2Gn#*T&PrZkyQhpTq8%~V#fYZvk-=6O zS*h|)DuYp2jyNRb)KBgW=B>0<-bM8g2=o%Gj$hEEjtoov(U7_TP9-hk`BZpmQ_7Z@ z`=&Vcq_)JU7aTLO&Z?GO5BP`wwNVBfW~ z#epp!m4qgZVm0n}D{ohV(Mu07KH2IWH`C{VpSkbrEo>Na;DoYBc|9gg+51wG*eb3} zg}bqG469<2sS77prFu`Iwo!KbKfuP1*MsVRT(6~za!K?pgg_9vCn+g@ZlT<-&18x&F4cTjW%dX z_qOFYg1i=!I8}^a+|qB{7E$!kC!xp^kHl&==ArN#BU_L@CSZSUZ83pMzGA z3++NGcZ=DqnaKO?Z8$&`69qx(kYkx z0u55z+P{2e4+9G8FfUdKES3Z}u zT`x-qI2(rEA6-T(Y+cS5xZ@7fLSL5ufMIepf^WO|khUb{3}W=}Cy~kwhM+3Zn3u+E zq@9<78)%pJ?Z*z3^m(mW>^9#s4NEi}!ThkxtdRmr`{3+q5m4F9O>C(j8EaT}iHzVV zm>Y*KjY!q>ni%AoU{EOSG_Wd z*4)YR4QmrqPwLe=U(maWo`J@HQ!H3sEW@8S!6IV--Cukl>USQ)sGM@SDm$cE!JbbS z(BnwHh~@DVm^kD=V+`Z8$^VXn0wS&nJh;{^{qgL~^G^uev)#1ID-y_S=J53l`GK(J z_WTB#c2hBJ7|*)xJ@28$cl&I^VBKvXg!B%u_4xkjxRR62RZGJ*5o7%NRS;!FUuOwm zJvG7W{7TWa?QhgVxV;1zQl75QG{vOnmjjAs*z&_B_YAB^Z^cL%EV#8WIPiyS<;l7E z|FJ_R-ndGac3gkX3(_Yx1Qn3{s{p?2U1QHdNB^07@mrGP3?N0R8aoSgy^+syy&?PIF`#bcT4-kW2*tj-_KxhJL|$1*ICQ7hJ~5)S5{3{t$YhiV!n=B$JbVyk&?b4SyfGyRFcZ78I6~wl*jTCbL z(N;k8xLEc_97@2$C?|~Hl4<@%z&RVZsOJqhcNA`S08p#u0JZ9D{avV+c2JLST$MHD zWZ&$Sz*i47r~!W6O(=mO%1Y6eyv^ICa29U_36gBhaSNg}JwhVpgaQu8-``r2b-Gr_5R`E^bih>c}x%qN`?fW=I#_a@`5>@ z8d1jGFt>eH`^hku4utykG60!rmBt0@*9Ntf9g(eIqh$n!h3(_dIn*(Q5!N?s=Nq#o zAZJ2Xp5GcDe6398Y}9N)T=upK%~L-&j#hj;b_8C)*dZH&{0xjA&SCXGRz^@VQo_Kz zdd}5qR`}-E!upJh^RsBU`PkKrU)#74y}tH|e1oTB{nPt1M-IRr0mJn_>Jf$ZdvL{b zC?5s@g(@54_$SHzB)f;xx@rG8?Y*nlvE0aQpbwYgaMyp?<+p-sR&TxN?;i`pMvnTK zF+teQEqhzrF!LO{%)-Ya?G8%RA|dN7^=a@k`U3Oj?apg*QB1aPvRSQ~-)Y*Zodf8+ zZED_TM4b}{kMniQpF~R$;NM-1zF}@j|2<@S^)*8c;SYV|RJ^PqA(3TP%+Tzk`7~tr z;_aJ>wIYX6RU+-NtpKbrb}+4AJta`-Uf=o36`}7gsVl93t+&2V`axVwy)bs z+WyFf2X^fXFuOS{>oVHkcq*Bcvp3EXSS; zm|oIiWqs?aOMDDG&^}n6MK5HHRxiI_)EVBPR8L?M`efq1UI+;JlS~cE=CKgzuBsgQ zJr{5T%UAHe``xH>?7G_IYRO=&Dwl7HVny_6V}?@)8+#XHg$!oXueft5eSS{wZR+6d z+e>Uzg%D!($0B?@^zxmWFZ%rs$%jWDZAW>M7th1v0Qd>1(h2ZbH2_WL)JJOup}%-X zf+a9;4wHa`M=hK!ULz|xM#g`t@9VEAGXxG*q8y?@DAu_C+OE)0H$BG*HR%X4#|ZN| zD=2EA>6?_OyJ6p~XfDxO^RL!bpto>~3x*UJR`WlA)c)vm4PQ@5kIU}kTiG=vztulM zihR)bujz5!HrMy$Y&b{A)srNac%+KUPUdMSIQ1gO3BJlgId%fEt9e_o@I*>**MMuL zviBC2-(taFh(AH4J)8cdQF@RM4-b2Mv~LZ}`4%0D6CeA^C+>qOg&>xc#Hv zf%~|mm{f^r;a>i1SAE7gv~&SS5)K^w6?R+l8oNlT_sTn~!!28KKs&^|;3n{sCk-)A7Yeq^@dS``cwN6f0fiolrp z1$>mv3hyi#qW9!wkEdjGmh_vO-4UgOlNM61)-$1;xn z>A5R#vm)S1en#JpT}6o&5|YfCn2LH%><=dl>oLCxxhZXY0~}dV@5+QS6m2WdzbWH{ ztY%hh#N~6FEF)Q(IVle8sVE?3ndy@6qfai@STc=Kc%(7e6?q*@Pog#qch=acC0!Ou zo6(~6O;QXoy_HRm&xE4Qf!oAii$i~n+{Fa9+Q!k0ZUiutG-4{?ULPYYee7~&HA_g- zL>?bWgcaFAac!qomZK-xs^Q3K-*YjS-L_53>V5s*H*sMr+ICo-Z%RFFToS>a-!>#z z8%i?Jr095KQj!!i7H2AYb52}uL1ght?wG8hj;!6_7`I3@f=01X2;!JKAmZtrBQ)%BX{uCs> zYguEF?o~MX-q3C=54HgkRD5WJ(!FV)kFxP52Ul5F=*xb4$)@x(8GB?*)aqAjoHHW2 zU@}fx>+RN3D^}rpr4=M(pLzeMg*LH%Cx2{9oH4`0*=);vvmD{Dj@8}g_6fgHLC9zB zO53kx1-1<@o}3um=iJsWdGpVldoWefW?8Dz5wLOVJRRrK3|IS~-z|2R^0AMZuLEYO}Ono*C70~iu*$2jN^siO?MHfgu zo&tp!Rw@3u8VqWJ{+ylNu3xd0I%od_A!WA|@tT7BFH~_O**yd>1gd3%?=SwrXytR^M}J#H^;W^Y>lS2NEu3?HAe@29L|Z>MO@}z>ex48b-XZW+aH}d8jlyE zSL?fhY-vME2jDCEXfVe}V^!D&(KN8%7$075rmQ4rs z-7Op9u30WJh#Frv@-vvdD#L|_H}adJ`}`WErSJKphgvFH0WK^SbX+O7n;_9cT9C12 z#`tq3K15MnfX<}}qRAA13-$pQunGISdwO3aT0xHG+JH3J3FLQ6k+5m$)4O*+xf#9MOxqtl7BzC_D+^=wv+-H6geLTS-WxY6#5I~^ z9~$T0R5O3nVMDKE;3T@x5eoD=wFT(qfjg2O^^8qszJ;5moNHJU<7To~^X|;h0H3Gm zIZ(T|??S72r4N{1YVNABM8T}egtSAl%@N5wk5~=v9i#HK>e>sck+DbY%C>jSpqklk zsZWVTf>x5$3~wa1*R@rdcaJ;D6SJJ#87PzN&RQC;59(ivy(JS_7v=b@w0mxb;NWTp$r(TS8p30j?{0bt;oVBTmk5J zVTDFegK?%;*$*A2*k%^7=znLstGq0wAxs8#ld#3f=LgK*H9f?~#) z@a#VL(3>C_PqAFC4L@d6i8tX}MbkTRSnCvHak7EKCRbNr$L6CJ-z3; zxfO`B{*_l~{wm~5W2VThD|7L#CoR|2pdp!ML2d9IoPiO0dKt$^FhYrjU$kTLo<&Q{ z`LCP$u*x`ByyG5u9t5IgjoRu?cVq2$9{MQX&%TiiPt7i^gu@~91vBa+lCB4HVHM@y zXj|0Y{ID!~KEi+!P4%ijA7X%qTA5&kq>SV^a&Z`dod@m%JceCH{-PV@*(tY=6nzrL z^Xa<<8`O#=97D_{7-HKAIFwT+_i7H%00R+zR!h~m`0TJ%1XxCxvFbLX<>`?z4cdRhs` zG~T?Vl2Ub*xuR82(lVmdH?PU7%iTKNqfKo%K*y}5sMpq?f83!gV;9r*d zG@mc;2*&+f39;m1rhJJQ_{XnBqKg2dMVd#h(%L?dAv=zQ7eRp`s+yUhC>^+|3)>nG z#0`rboAdN0)+NMQKDE`h8B-^qh$)gf1hT6WeA(!Q6KV(FcxV?;6YR@PV_W6`aLel9 zt5oX^i41}aHZd&{7*y&~u8+>~kdSg2E2{8l^1qr#@ft|-bQ_N}Oz?g80F&^1m3+AY zC!#{3F0np<$i?~lJ0I6TSY7KBLoWyYYF$GQZ?|7$5CQ-3{}DdG!T%{A=-1Mxl?SjW ze}4syUicc-Zi?X}D^O2g;!=3O1g3V`31DDsUW7*r1uVHBr=Y;V0D-rIS^vRcmK^l| zQBAU+{{K~z=+I|_MD+a*Gi2~Zkk?ubSlW9H@Z0E%q<1tisgk9|w_k#5Cn+L2R*1F6Edk6p)Ju(wQRXs< zw=Ga9edHKdf3}#0U@}>z5F!Nj{vw*ECTJv;BK1yjn)CgRg(r+nUk%`yxNJjg3s;p1 zk7`Q6elvpj(?cLi%hWI`Yg;#A`q(h@Nx~ovF!T3D_q^(1{+Z}VKLLm-UBogSP40^X z1QK`@gB5M$2gw_P5b)p-GezMr!q~JGz8l$`^SM~Cg8xV*rd8jbtz2|+b68dTP4k~K zSiSu4=$n~!@%Ed{$t<|CMnYrGN0Wmg1>dqtQ(YvAxnWA0bKK1FzoN>YcV9c;pDdKe z*uRd9pI;L9?;ATT=PY}NdlP?L+WNc>EH_CPjEAD@r%af@kMhNbf%oP_J18qM<_b1m zxo!4rIJExaT}+TA_DE61)Aj{E?6>@ zC*MThxhGI&T@SRUN=Cl{lbrgc*-UI=LVX!dPwQY?cGE_TLwC*8P&a9RYtO z17^ECOGW+fe6oqJS#+iDn?BLsElpYwS|$`1gC@TA?}V)u&A)~6F!YvjyxmyyK#`2r zTTJSxQ*=)Jyjy5M_o;&FEo9*G+DhK@IN^5z5)vNeFi(+kAI^t|$uUE|FM9p-!n0(* z40P|nuM+$62d7h#@;;{obJV;Yk)(PyxuM6@DjwEfOXuQ3? zXlmMxcGL1V^~8Evw#vbqm{Y-D6{xwAnis|hJ}r>xAS@e*bfCFdI{V72GD<+&Y3)u~ zf)RlKnPqH73|mr6d&~p6hd5$ybga&JBD*PN?UAS5rJj#m5L9b6K_L>{z$1t7@x_=S zsCX7qEI=owZrB0&L;tk!uHvtva=vD)^g#)OP{g`rm} z9i=Y&E;xB{f0BO(Q$RnJqWZNpgW?nn+h@0y#P5A(Oo8n#PsbRj1sEYhJpOdnFsx$# z@u=tE@0YB-$kBOFp+hQN`2mXwSRh*FFHFgk9)D=*q@zwUD}SBsnk;sCZVLk5L(LU; zAfFe52|YyIz-8yBpg*@iN8dG+*&dHP&sLtNDAY|$Y3lrPq__K7?WhX`Px%Gu#rKyE z$lJnaMUKFunAS<#3x*epk}Y_o9_vJpo)a8!j|2v_{-}M)d)Si4*^#;1)TIt;o|K>4 z+vwvg0Fu^TJr7_LfQpt>6chi&v} zMSj&my+SQqY13kh!|Gjb)3Q(P$<(0DTbZguk79?G2@#w2iceLCRDI`Wh&ew_Wh{#b z0|=ed6_?eS$Vla{<8h9@?ix>Dwqo%>jlPc-8t1N3PrMpo=Jdl{1KiS82iPi(zh6+JvCh6$D!?`WL@MW+_;(n_r=rT^HpNB@8M^QWVTepSeNYHT6Vzs>XcXX@HcZQ)7 zal$P!={PKt2mLKaT1YBQhMsC^`wBGSUIM1H+@}MKa>)xzI+O;AT2;w~6A0C9V zZhh(>l2la`_lzs#1~?fn6XE`-!qeq^Ww6e{T8mowvSB*@cb7{1-glu;ZwnYKs`KS< z?3xP5-;3lo0Ckhyo8|(Y(7GBo?ywy`w-$!vXW3-FmtJ@PQzX(T(eh;cS_!O5IPSxZ z$lAdHwv32=4GZ3><;4%jaJcyU(r_?-w%;MrH{k!1?AG)6YE?t%yJzhL9T-GkeOb=dN}w5zVMWggO)CKsKRHv5|511XGieHc zFgzP3vxou1B#<4+0B&x$I}s4M+Yoc4vn(j* zzRs%Qi-_Z(=Y>FHk6llkNPQsphPC$~I1`w@#uPHqVL0r_*L zb|Xege19g2X%~BnkX)HJe~`T5(suc<-xRXke-+Ov;>9%gc{88$%r2j;r$T>E4p}Av zb2i*)xX=M?KOxi$U{UYk?^Dp0nB@l;jyJ~xYeA@lzvs}SbU-&8@kSN=cjX=s>2}$v zuW{iRcZ4MORI87cl6tP#Wl7Tj;mUK@!l$Egt9p#!L}V+0g$+p)kr2CRntYNS)q-0` zx>f7b8xm0p=;W_d`~F&Ba1U2OXeD!YzjB32{tH-OL_f5Y={#sJ!Q1RqvhN}u6M15>-yXnB%fcszwHOQ{n4FHtd!t(XQff@)V8?guJL-HdJ>w#i3|=Me7m2H`&2UHToK=N z{ppT*YK@1iZiUca9e#Us=+U}W5ZCgraJzpHQPQ8PzV7-!6Y7;nKf9TRBbl>j&!mgb zM6co~tv@a}vBcorcr<|d^Lt0oB+HUD6D|PiQust|V}-4^itjZiZ?6-sf$TjQ7#NOe zPNH1Aj#S`lrNU%nmy8ux;FU{_)Dy-)4G61la(<=0Es{^(NMZy} zlO&Lv+0AGfG-KRIhsCHl`Q)(3&dJ2FIeXUfeK1k)^N7D4rsTP+B z&#e$igDH!Cwu&)~DhB_v+ZqZ&DFcw54=Q7%qgc4D?M(<9zVrg-vVlQ3j zr$G8g(RR?l4~c!{3cz8r6}mcBON=?D%Ze*sl?p_i0;32-8ld`FyJ3jL zPhFYA1kaLfR6XI^fs0yd=@oIC2`8mj70e2x4XMQ=e!*XwkM&NjX%I@aeSU)rjzYsW z8rATvzqhF^6xjZ7#WX6^Pjzw#N{1MHI55Q`i~T*Og)wgK{^pG!fzP>w|Dm!sZ4)yD zomjawKZmOxNRlsxvPj{+MevB!ze&dM6&bRxFWr7u^flJ$fQh?1a6qD>bxEaRl(iA@ zJuU^&j)|S#W^(jp6F8y7oLd=uwA?lM{gnOPU$IYq9J>B+-s6BRq_mx2>#2)zDp77I zBhUXCzs=yBNw|B~O!O#F7aBOwf1jVN_(QjpL++(oL6_$bTHU71c7}WM3QJr$t+YC| z2HCV@+oZpXhhJ91r!$hDBuP4X0mSRlpQL1f*par6ZUE8-Ki*bzKHz?6Du z*F`Mb%L^*3IS~W<{Zs)+75dp+ELWt<$DmhT9vfHJpeqseu)cE^!Ul!SWc(F6z$dw^ z?t{Ayk@mEhg*q$^M`wEeXQa467)|AuJA2=vXSpO0L7r4w!4gvWRWL%e<&h@%5jWou zwGm$07R<^osJ5FHYyu;s*JRN-fbC-MnE0tZMO$DAx58oHI2;M7USc&iW8SS)Gi*wH z>QHK)8BFP!Owj9B^yV#sk&xiwSHiUU${H@mrjY^ogx)N!DKc609Iba(TMvv^^S=D5 z&Zjqg02A--s@`z*SQ6VB{qu8(DA^#CZ2_qf>{7~K4Ef1FAA}1H!b5YF!72=5V2U`7 z)dVSAow?p>58H81bqktqzHuwF?!nSW*nfY=! zNSW+g@T#NlS$tQ_>gb_Ry&rLFrK4}=!i{D6n)N3bF~1$oT+L`y3UR*rsp8JDgb#HA z$H~~(DGW&>*6eqz5HAY{w#2kTnU=jOUTv0zSYqi8A*}ea%#GN#$c93%kkco<-bn}2 zAMB&1Oc!(N#57~!z2wFDBK?X@SSkh>jW>C=OQNs|chBTn`%mSFg&4(r#I_aHdGQs7 zK+x-dOq0!aW4DqEkG>ee`x2;pz@h=6n@RX`{8vdKc=KE!97Cz^t8ZbKFL~Zgv+*~; zK;d*eevRSu8~hTsXb+7~541L}-+c+hlv)4{b-;rO6TeP?i%?1qd;dBMQVZtEo1lN?sqqXxqnFJ(~E(nLEcRcxaNsUtKrY?1MeRKUu6)p__a=({ax{g zCn)-X5lA+(W@Sr+qJN~Y?@IouF>zcRm!kLT{AVk97;+GD9QB(^eKA^?HQD*fn@>a; zoGaTnUV)ZNg99y{!_eX!@G|%m$OrAs1>&-eP)l#-FO9lEr$)kxnrql4nmUDVc#QTOj`%`1dfoM6;bqQE zXtR{*wTx-$=u{QKGWT-8a|he~=Z#2GyC#TP+Jms$R-><(LUZk@4qRv~+IFwN|K%X< zf;8k#-W_CHBb||E7X|>rx>TCeht-<~PMO0$? zb#EsNe-&63oqzz~(GUytcV2C&<<%$J+&@$n7|=@4^b9^5u}4=H85V;lb6rR}JXCQW zY>7cRH?M3NdV?U~b0+TcUbOFh-ff$&qh40&_A}|0RRHGNA;u3W@Z+2{Kf{}b!{sUI zNP3o-PXM;{i+G^8Tg2EL;ehExDFnCPm0E)(jNDKq*jZJP1(~JS>_2z zWY`Ti2D|d}s=>lk`csEvWXUo{l{{1 zFfk^~%}YD=EBHbLOKw8dJ{NFAlo;c&wI>=g?TVoPNo~{XG&v03Wgmzw_YaggWv2eh zjQ4>VTJCH``16D2P~97tHAY|yI%dSOlG1OZsyBwtI8++#6yBL3|3!gln3jq+_7vPH zQY7{nAl9^O-qz3-Nxx(hPKvakad9LHEiQI)!r?Ws898YR1dM@YB)_Qze{a#6&hWg# zI5}M|vT-5ppTLCME^D+CZY(k*dZX%ZOC++&SSimj#~^%OJ?nJ~;}-&CB5OsYrgu}- z-oP*|$F(?+!ocvN|IZp<Ac-G^~V9xS1&?)r2@d8-U!~NX}41E1_4!iT5lsxi( zpSZHC`Zs$cSKvJc(1^5~(0|mq+1$LiVY_(VFZcp~juA~S{LGN{|9uBUT|hu7i-$(7 zumEt4&}@r`#4VSC9^$FC7=TO*`7J)ghx4sdV753u zVrw1tcb)BaHyl`Q6S^W?2a$IDRBPy}{3%MvJ;(r5uvYb@2D{tJ1g(yA#`h`4sF~U$ zL;6#a0cf8191r3=Ab{>AYwMZoIx2)f%z53OZ{Tpm?>i7g?6bv-rl7FQ@&e}N1hADv z1E%H5GWzt-6?IRHzCEcv`US1c&^xya!NO?4{k>8Grs0Ro!dp!aaTk7&!5R91jTe6D zyZS(Kiep>@qI?3NsN&86$sjEaLYH4!vCV-!9L(|{2Ye3A!eq1V&IFzgC7zz##>ix%NM81N zXP_lCm!A{ys253~tEdzH*7b*h@V|IAqdd zLxdm7e*HbmfCzfOJLU@xVmoo33JGa4YE|L~Qc!{~Z_j>%TfHP$S@)j$?0@P#jKw-V z+}~q(CLLnyFrT1Auzq5zchqco9K-gH49wo-3o(O2rAu<_AE&CGcmj|%VwE{Jv+d_N z=d2jc3H1*&ve@kSUO^W#>!N-m#z#c0^EBjiTg{cD7ziV4;eo*MnXYNCqc%w~nnC@) z)P_x>UO=0SI-l-LebH%l_B@0il2-Hf9&B#UdqimLCuBTc@mytA&8OcIgiIJBKb&Jx zIxe=^@aaG&;O^d#Q1X=$&jnppo%c$bJ^AUs@jpAL3SLNYS?OeNUwH35Ho(ABSbxlE zdBoiJ_I5;44wo9NSX?_b>zJ{U54w17PbYUGl<-RRIA{0MLI-Jx37uN(&K^r0VNQN*@X9_t!M2QKIn?{?(Ffm^5NK^(pm*IQPBh z7KleWB;QCsY29czizNU-Ne<+$ovG+^K5j#GJA;V;rQfag{AmiP6n;+{r*iSd&l4N zs`>rOX?U#GW)>&Nf%99%CSRMBq3}q**2MLbYTS{}u#HB#Y2Lmw{RL5u<`4!yF3r7B z_LyVK*fuzyMPf<>TyGCVp4N6U@~{~2O#SzgAI6t~mlO>_CVoAmA z(>Mb^g|!mK(`1MyaqMq(RzF|&fdQE-6kZ7D;LYaq8EN7Kx#X3+zbb8v+64b1ZU!k2 zSefrD%ODaa#v#iojBwNG3!Aj=tG@k{=+Z z5_sPl8HR(JdbMVK{@2b{h=z$+Oo)Z?_r0foFFYN18u@bGN1&|^b=>?;Di2eU_W4AC zI7OH`dO*1|{1}5F$a!pz&)9-X79bBON?R2}hdMy}|6=5V?G+*-1PpMIoF>dg2 zhh00ZPP$^RNxfJBxTQ(9)9rvGsb%u=Xq7>R9Izu|&}SWV%oxo9Zmc%Lc8{|SNCW}f z5^gK#&%=?(u1DYu9`_g=Yw{-Fn8nTZX7!DgKoE2r%okNhz?!+IpX%lQD zj2@9)1e{dVCSUq`a&+Iuw|(6I(k;jd)$qk>*rQfz8{dT;)*_<+!msX#}XE1{Y&!5u7Zl51h zYa@H~Z3cW}2wKUgF5Fpvzx7j{2zu1_+uu*0zc@>{NhvJmy;!Oe74^nRsPk{Eq2_ue z-hr$!FaOn>bIM>ZI!ALP56ukJj9I#S3VV-PT+Ea)ZcT+qoVIRE$nFobTayl0cZb^? zvuU2&QhNPQ0(7N9;Y-dn;17#Pbyes3*)g60)kZ3-HqMB*$Hbw~!A&OqDNF+;`=~21 zH|*Wx{4dVtawGewkslEDCRyIXUuMIk~dQ73!ff_6f%K7jIOH* zWSAhaaaaKdSPbBVD!14p5 zY9U0m%UgSoH7rK<08VJSTX{w5O1S?dT$kop7C)x2oNMl2X2<0I+wiJtrlOP~GpycpE+C}y{Uo%4Q z(j{6fQvvG|KI|=szat;JBC6csEqPqa`6%LO1q(>hRkJ|Ltiw z4)3vpoX=YY46iCx<1b@(l6VD$QIex!jdAGhRj;m0^&J@pvB7n1pcp>aW1S2)9t`Pb zs>k!yp^k5j8$8vsjbcOtvleMI6wyShlS!p9ILpo{qYD&XKJ zx!bPM+p;OdOW1hCl6G!x!V1a=?#x*ANZVXvi;o#Uf^IW>ZCW1ize7U^vj;?TzTIiw zaOSxE2z*NjJ~T_h!>!z)3R1n|rryud-#u5s+o3q3*gk*Hz$~nu7^q({4;=V7y#1ms z3fE*K%%%(p z@_p384NPy(DCTZP>&<@6S?9Z&=o%KF`cS5#$44r(@komls9zD87aA>?phfqNd@PUG zQNhe+8tu}~?Q_0`BC?pT;sr0hlWGH*DJ-&9I4MXpGjw*kk020R>Frt1zkb2pgXW5O z)ou;mZ!|fw-WeuOoXMC=@6ZbGuq_Gl@pBLK@@I-2rPhqj?L5v5U9am##KZzV{4&=H zs-5b=4Os2Scttd0<%gv{!%HL4Sd>{m6a`O%A<@IJ7)aXK2gahthEMUsgqvH&Rzlw> z?9;o?&T|9XfX}{9U?T7=HF8MYR+9WRW*<0OB^IL1Ha=9&@ah3SjbiomCd27A=FY+M zOgb*@TXbf=p|C6!{dy|%+a!L z29CTIV|3$$)e@sWwc#cJZlpv3l&LtSZ@ouaN$wBa-Ug40T}@#s=D1tPTeyPN zD+q+Cah&I+GPL2s@3^_yP1^WKwfUgsKVR+mp=>dR4KZI`eI8h#UDBK4(5h%a!*IP# zVjmc4WzRN0X@X&;cw@(OT|J*;wdgAB124O z)|MM>^d8lzHH;7{1$xi$q0YyZp@761cCFX{*_RNot6x#0+LQnA+-wFHZpEx^1lcg6 zDu2%{wHRQ^lM|(1HXUo#ux;&#N_AMxYFQX(`N(>X%W>nk$Nmpaor8dv4`&sZ%VFR_ z;y7DDcSi`kaARPXq)S1UnC^{b6P|wlD-a(1@^M)FG@ZibayYktHFRDe{QC*|cH|nD z58lhBj9fn8TQVqDxrI4bz)#aDTrNit-bO(^-8q}Xet&eG2)xb3I$b+PE+5Xm@zZn) vm&D