From 872d86f021ac100308664e793a89e9400544e8ee Mon Sep 17 00:00:00 2001 From: EmanuelFeru Date: Sun, 16 Feb 2020 21:58:15 +0100 Subject: [PATCH] Added Keil project Project can now be built and flashed with Keil uVision as well. --- .gitignore | 5 + .../BLDCmotorControl_FOC_R2017b_fixdt.slx | Bin 207722 -> 207526 bytes Inc/comms.h | 9 +- Inc/config.h | 76 +- Inc/defines.h | 10 +- MDK-ARM/mainboard-hack.uvoptx | 1636 ++++++ MDK-ARM/mainboard-hack.uvprojx | 4691 +++++++++++++++++ MDK-ARM/startup_stm32f103xe.s | 373 ++ README.md | 9 +- Src/bldc.c | 2 +- Src/main.c | 46 +- build/firmware.bin | Bin 20276 -> 0 bytes build/firmware.elf | Bin 391284 -> 0 bytes .../pictures}/motor_winding.png | Bin platformio.ini | 25 + 15 files changed, 6827 insertions(+), 55 deletions(-) create mode 100644 MDK-ARM/mainboard-hack.uvoptx create mode 100644 MDK-ARM/mainboard-hack.uvprojx create mode 100644 MDK-ARM/startup_stm32f103xe.s delete mode 100644 build/firmware.bin delete mode 100644 build/firmware.elf rename {01_Matlab/02_Figures => docs/pictures}/motor_winding.png (100%) diff --git a/.gitignore b/.gitignore index 236f30a..799096c 100644 --- a/.gitignore +++ b/.gitignore @@ -3,3 +3,8 @@ .pioenvs/ .vscode/ 01_Matlab/slprj/ +MDK-ARM/DebugConfig/ +MDK-ARM/Listing/ +MDK-ARM/Objects/ +MDK-ARM/RTE/ +MDK-ARM/*.uvguix.* \ No newline at end of file diff --git a/01_Matlab/BLDCmotorControl_FOC_R2017b_fixdt.slx b/01_Matlab/BLDCmotorControl_FOC_R2017b_fixdt.slx index 9e8e65b06f9f09e59a7dabf6164ed4398731bd68..f8f04ca6d17a970bb1594084dc273cdeec23787b 100644 GIT binary patch delta 95602 zcmV)dK&QXz)(ocA3=>dG0|XQR000O8C|j{ka=zQ=+L03|f6q?iFc8M?eF`i0#7UrZ ziP|7h=!t4qY!NGNu02gG;ySmTatrqFGg!sU;V4cx0OZMOsa#K&at+;XU!x;-MNbm%Ow6D0GC2a_{ zqSW&i6!RGp5*m{m;}i?{>l$hqe`O8OGS9D(%qD-`aY~-i0i5!m!Z9sM3;JvM@H38@O5Iz4)R0MDJY0S~)gwbGN=8j;E# z%_M(|?{mV94$gEPID&^p7`c78nhy^~EF$e-V&%!})^%*&kIE2g*D!{Yw&DfWBbV{c=}d|2Xz+(;0&`x-Xjw$aQF ziNR8JjD6{8nWuS~7U=19H6B-&<(a1^fmkEn!JF(KM~&C498Y59A=U%O$5TJzOp|rY zpF)Q-|L>X9e*v=*0_p*m=O6^h4kYvp$z4uMO24Zhw-xw8)S9oSF zf=M3xt{*I&9aJ_Pc%B~-S1-MFeBAPIhP9XzC!D)BM$sayMhX_A#2;Vt?x}wVEi&I; zebWm+h|+_L@}4QuX`xXv#T4uV*Rex#>fwL6544v6Tmc%VK@fwj@-4-dU+lmG9I&(< zjJ`RcpX|BD#eVXfsJ_(+3Z02}X?v30H8G!X$?>B(U8mxH;vFeSy3aAe`>%!Pmu{*5b&;$}oH+d&8Mir%M{xrov(1%wb7aQGoXXQ?F%kH+8!9efP+w zQZJ~_i?F6aa&{EsBV%K9qJ6vYh6#U?Jz^@+nEpYH*yG5*)Dx6IhB7+`h;b> zuOOfbJMBE20JuCCZfiHgWHJsZ+bmcFCA#Qv=xIP;kWj|MS91MrZy?O{E zG`qHg35sHv+wk*#~nz@l=s4;I;RlZ zT&}L(pFC}tHs+YZnos>d+Q)~lv)O#R@_;)iXkhutn`pI9`@8Rl6s~ri9O92jVwjh_3==B z(#7hleACU`@J{gABu2BTK*<6oP{#MoXQO_oiIq2uDVVk-Tb9v>Ic zd=Y`Z_#Vri`Z?HHfZOs**+xJ8t z4cA<1%-mx!hxbMlAbWJ! z*rc_b=uMxd-MoQQgTRZu2^H#f1iv5I(Qq28JXAfLG(7bnOfG+O5L48@r15p&Oo(G0 zOG$50tw$A_HmO{Nrm%G=I#Hq3sY}d#EZe4g)8|vuJ2ff@bQ6Erkiz(?Q}-`RF06<3~-caZ5a1 zP>u(|ta0ek=n8*tbm#us|7_DO$fWfbh-4hNF0p9*FLb*hTjLDokr)~c1bp??nV(*i+^hB-n7`v0HH-}qj6H6#HFXS{ppT>W{C%+*3Yy34t?geglbi4?H zb7Y6FhU1(6x;=Adm?=i^Wr!a@03CdGM*rm`|IW$3zbD`EzlARND|zh2PrcGZFMbxz z5gHx)X#8sQ`Np3k?-B#*)##6#p%+~TUU-6{cTV~Hng7Zm%XLQiDqwlu%@AwrgW)&a zBK~}W?BRbm+~3O^VsuPbe<3|)WQ;Jva>v1hhN4%&4c5)yd-Ll_bUh7Fh=0hs;Xw-D z@Bk4sK0&`@1{i<-JAA@3j{+BS$14P1@H>vZ=rW8d?T8$wWfu_8(5vV5SHt>1TmXDP zpT~RuRr8uF<%5=*g+&ZPEvEzRv_9oYQoGQA#|48jY{9&@P^mKFH=^_PrYyvAYlaVF08*{YQSt)e2fPXJ`)Bw$mViH&tyT35*jKbL4)lKhIw=ZF7M&cb%aVVZApZ5Q#OvlAvlN$~Uo>X4Q$5hP;y)cM{=_ z|I!TQm0+r+z>$0hVR1kcu9s+zRYUl^cNl*r#N11qI{U%AlD%U4@trf7ez5_Ac7TPj zB#BZnhUpF2)B^cCR{96G7#9hs;o}vF$24WVYMW$%5r_ZmS=uWB{@>H_Uy`i-R*k=Z zB<^$2Mn$o@%@tM~up){+df?TYpdh{OvBG=$WajkM#6}@TPr9a~vy)>iDZME0T^D~i z23enMRj)1p2KG;kH8pA-T;v-xz!56VBH5Su%z?t&CHLmzL=1SDT~YI zZ{=2y3==OvH5DKTLxazjQ5U^N-xKweF?_I>7hY%%Cra4?D-g5b5hwUc%vxy^vA`wP z@vtz*6q3V6W`~U?nHg*}MczDYVmo`89yS8J;Km^eb`Cg&18`bSRGR(-L=}GnPI(Hz z-QG-X*ByNS-TmNo4m_0ucxFy@y7**NS93|GYv3K_aHC#tT7{b~=R~I~Peyb#VZ2?# z?Kp=U^+r(z+_VC{8Ba!U`cuHoA#Rugy;1MCF(IjNWR3{X2%+QGwp5H*Hqo zX2?0c8S0bKoB1?wJ2{3>j2wS()cY+JfYS>EXFM6f)!Yzj6L46U4_%!Aq!qX&%A)hjF8gPdiUJ6;GeduTM*HT4;LONz#-#GcIFmD+>BJA+qWHXu6n9F2WKVk1@=3dpqmpEp*T-L3f^VSW@Qlx6P6mFS-^x!lDkM) zch%uk661sw6Q+MAH4xw$ytvfAmLHRr)jYX0NP#aC4IQ?yF5v5mZ$H0R~d z%Z}kX1W77rr!Z^4lttk?)hMEJ=~8p{4O8mIu^&jk>OH{@zXI1@))pJ#ClMJ30F-Dl zjtaqQ?%f6i>$v!ng1W%bmwn33pw?4bl}uh@t>*0Qfx3U1!*2}K!k*`jO`g_egcc0>k)C<>h0MTElm3VnRJ7d-0DPb7oEFXB?B z1loHGVN1Q$WKyldU(VrQWlYq=-!c>UD>4tS+`&KpLCN7?Wqs7cUrgaIS49@>;Ge-C zF8!6%1cWUVAqPE!yvj`u5n}eh_)`@yIcUaTCFp;#ny``?tD^aen&1bJw4!zSU*`T; zyucNiB$4`P?=V0iTF(1b^-@gCj_t-HROQ`E+SgzVE~2wiF9QJu!@WZ=RKD{U(E&q; z_+}$Uu7NRHk$x}haD?$NoK`=qnk!va7h0c$a3qekrtciDZnpipxARVouD37G3|Q0p zGkbs2r{R_d>8p`sD@{|Ro7_l;^T#%kPPYSSNUzcCTSfY6U|B`u3@#*1y+#L21)<1K zO(+ZwgOpGVZbH$eb_sn;KcfNd+ zD!(_!wmVER6y&-yt5?72$Z6TDuPCWkUzOOs`g%o*|5DR!^Xf|mSz#Pc_)4%8sz0n_ zx4y#T*4N?^HgE(EGvB%OG5mPk`UHOD#ut1rcTRl{r@q4D)YrtsPXd6Jx!hEL0+)aO z6>^g^+C*Sw-&0sG$Cg*F130|Q@5wjG(H5{ja&{}$sn!+ew+e$%NRl(f*--w00>5`_ zhiJs;YKN7@$;}U4QY7t%Z)iwp@!H4BSdI9se*4FHlDv|96TT4u3qJsbvkl=4A;aK5 zC4nLZOYLJP4CE0E>8=t!8d+re0QrBIP=>l3PPH0U!Ygi*KuqOB#O_!)8DTIMyyQYwB;v>t~x=La>CmF8uG{R40 z3!9x9x!c7ev5F)^cjeI)#RueKuyjW}$sMo~c=##F@gkbJ@t8BXKW^rJcxm6|_~JT* zBkDXDpqd1q_$*M2FNPqBKiz*J923g&Q&Y9d^HZABGQuSAa1ew^RT&*t`fuvgv@4@` zYcSi%@v6dn(w4Y2Rk7}@t0lKA^Ym3duYNjxUau_Q^jS2IWcqwg{dD>?_-y)^7SD$F z^o{r%TReW_J`B~lj=0o~9_OPm@N|D4HMi`*(MD`*+H| zA58k!m;KArOEpOHOEKhIEP3m(ie?(jSA zKZV1x#bCbK5J=WC%L%!FS^^ z1a}r%Ur(J-7$zsTC={Y860q4R1X;x>VV>ye0&$NE_`^GFFA5>@LO%%iV?^K=W_Xxq zp8yLvdJ<~la`u9s#+1SER$mF$N{Q!+f6k-=4y4p3a7=`A@*hL@cYfgffzfQc1J{{& zaejHg4ueIF0-&a1M~r#K8DrXxfPcYOVz+&20$_8Vv--TFU;yPNOIn{?@GHgws8vUx zE9U_cw%0R!rT_8X`O_c@mqZ6>21PBavio<@0crEb#Fz7;FOBEO)gZ2UxJE@Hf2DOk z*NocXnQNCvsRObVE(#!we-YxJQb01Cr{;d_IFS*YTf;?s>V3~j9f>W(Kb~V;;R6eX zRxbOjWZg=>%VGuwjp6XHaMyu=08RrTU9P;EBew<+c+ZRNp9VhCBim!}7PslpZwGz( zRTYiryiQfqE86j8ZQjFNk;SR7e_8Y~5L8!QbSif8tWO%xS(zH2p{Cf8OkV6Tkn*Ok zQ*IdKW)5+_t6L=YOHSCC#KqUKe6(21_ospQ#&}fYRpbJ%V!n&KMg$YtGN7KRae|eK z6hJHei>PGrgKhdQGRsxuZM$E5iQ=ea+s*HyrwV=gq(mS2wPTIp6l-nze;`L)Dj2tF zd})xAK3E)$o2HMSe(E9sijO#o78_%PLbvp!=k&x7M*TQ?vW$eDuvx|_thX`u!JmPi z$}yDroqLTTW_(>@k<2sziUd(gb*ERA%!eUFf;Wf~+uv7H8;nh2yfY#mumAu4TaU$< z?I?(HTf*b6?wn{i%_xa{e=86ZUtB!#&0y6*M;Rsnxsxb`qD80(Tlf`}pfXG3%vUn&Uv zAqqp17$Yfe8vW{n1eO4pe&j3$Tx}Z(2VXw;MfBYHH|YkMO*7yIN$Wy0}wUd*dR^YrT|2Z zKm7;f`hCjyLyar`e^Ar6HU3bm4YI1A*{0(UHQx9`tu{}~rsEGa<}lk5)pR?3_V`1M zH^^Btw<`Wn<)Sf&W7)LfL zomZslYlZZ86u_z8T+I<06)NQ9HgQAwi!7T1B}TV0yaZ0jb*O<&jOfZ*ou$6TOitDO zDfgXjTdXEa-JJ4b3!$1Ol|UNP<3KXo9E&`+GJE7&rULnKda5S!CUT}DsnmQ6qcxX< zT}v)DoCvs)m$}IdzlBdw(6!XYXgXh$y2hLRk%ppjpVsM4+ZW#q6 zak~r?+PbLgJg~^%Ir-_4ylOJstLl-{H;Xe=$k^T}f73$QU6FP`${Fo7Mgan)F$4Yr zE^OtXXE-fdH`iH@6yzhd*@^bRlg)~Co2?7^#zWFSZiZe2`6<}WO3$3zzz!ZhC$&`% zrG3d_aYp%zV2B99_70EEPL6Nj`f1?1E(&h&nEeD_tRs=@E`3VV_hjD<5s+M?{dyc< zg9UxYe?fPrUXs|O4!DKh8T9rJ|G1gi_s3pzSr-A)Z<-qy)xQn=+%zPgQ)=glX1B;a zJaC0bBCs4?X1T#xU^Hg?jOJ%Q@~`a)Ky?^X#-&Y5v-BvCsa!=D z34y6cb>x%#9*0sT7UH2r=$rS}l%^uPER34$({r&`pVkht_STj8FqeKK~TBc-ZI(*fYu4j3Ic$~a#AdimEh6P;IKgDa%gOdpLGE+C3gXtJQ{5xF_nzSRIZHU z&({RVS((M7(#B38BX={Jd=`MNbWLDg04p~yEq*0d%9U6ypX_rt%5xjj;?ZGaf9H>y z0Zq}i320sNu-wYD_&xttwk3_N()qj8$8yEO;;LCdwk2r&tm(V-?8|BW^b+<%$uDDy3{E!>jnq2_P%z?#a zGH9ti8jB51b5t|8s8nxPf9}io;}p>E#|7!kU}t32GI9=mqF|%w^u*T;|1N?t$5zbs3>eL<_lPBqr#9H94n*&HJOJZF_*mnQ--x1+tL8JN(t({ zN^VX_+?T*u(Y9v3e~;1_jX6I^YkJ;~(qLzK?hjC@Nv#LSOki3ympF?6D5U?;=m4m+ z8tC~e6p7|GDZRWDy+!UIm=GxfpXw^Ml^4K_Zc%_i`gm^iRLV* z2d=54z_n`9gFGd0n{tJe8b1Xl%HG->AvA}?((1|xQRNgNTdK0v2&qdS_oN6Zx`A_K z(A*YFD_cT_ma-nz*izOLBcm>1-iDFERBlp{Xikcy#T6oM#3UO5aiuF_jh$HDg|vc(SXgfIeB5!N3uTRN&)I2Fr==hH4sa z8&2{}eHKqzU4jxtN>QS4WoyJJFis3~ ztUnLsybb)^OG!SbG{d9GTPmr+phCrTotRD(4`XS7j;Y{hKk~2b2_)W~`{6rhGJm~9 zVHYry#2jioj;k1IRt7bd=9hgEs1?oWPM}uMsd!vaL_gBNnXLi*H0w_Vw1PUo<4BUh z@2|-!Y)vBRw+U!uf1dew9c|A7$atJV@$Qszo+k6aOcPa$C1P_;kl8m-D2yB;bpbLh z1(_=Hcmyos2Z)Q-LxC4r<0)F-HGi3P4KpbR8jsB`8RYaw1$R1plK99>GgtzfAG0$% z0hXEri>GuA;a-HoVxJQifdQ~sqS)64*2rna&AJRMJ%<%f?GBO+R|WnB#+|Bd7t2qM zM!JBPk;99p%tf38JcHMkiOBpYsSA)XaWjr;TUxrjcB=V^E1XP4`5m0e)E&vrvQjTpSDme!g zmts#&UNWk(IOU<<{uxX+JboEmN)9d_rCt_Oon96BReDYDRDEcd#V{m+&3_+jWL5!z@=;B_73|Y)m!f zV~V~_AZy#HvkbCaUzK?Ddy6>24C0_5o-mV>o0%-h12*G%@5)F&cf51^J_UCAEe%#- z;DhTPix8_`jdecwq<2wcb;HLZEP;&Nry|`Saa7SjA!4b+voV8sU4Ow*VHo68^%)bv z+l4obmo>BRUp&n~!DU#j?S7mi{3<_4=_h~k{df0+*XiQ|5ATqmG@^njaP~n0aZO(L z*A~V1q;Ng_>8DLZh%nb=W<93mqNl5&KSwDEC0|l{VQ{#_^ult1s3?LYB7Q7V{Y)p$ zExvGV)!H5ffxzr)j(>*E*om)tq~+N$nij6(eccbCNw^Pn*GX#v{^RlFhxpRm@gfM2 z7ajZF*qH$PfSdZ{$twZfN3%(=UwU?hq93Y$PlxjZlyr~(`5AebqFv$B`{d^+SfC$Y z@eFb0FM=WB7$NQumInFa1Zb23r7%$P7&gV3Kbc_Ik()QSE`I_Dm*)ZCgN9Q4y>j~KuI+@6$v7U?fvZd(2BGc2&Y5r&#`>$pzzP$Z|t$%{>*Xo}yTtC8-xR}~uiqJ7eP5O9nk3tf3o;>@fDB%D7R(N{t;2l$H zv9FuDY^a)OnWAFJvcT|5IwBc~aFcX6Nsw@JQ7`>50H%3&NyEdzpt7RL7Ph<%T{T2q zkX}RI^t)!7__>0A!K}?NRYg(^SyL@MRysy9CEUbJpMQ#yrHk;(^80W%qd2f^;YM<) zk%eb~JEqT*iQomr6RTQ5=?CSvY;#0zdB6Q9+%mm#CRnK;gh?)*mT1QD&_#eZWe_~OW=hs6vAOrJ=Fmy~-X93zfHjK5@q z0N+23Z+}l$5n@t~JAK8Euh{lBMuWz*ul41Io7vKeZth#6CYtP9+TU&I-Im_6Eq%3^ zDUaFDGW&j(WVQ`0t+d?j=-rOq?P$gwO?=dEKOR43S1UiJ-4ew-SpgK%gs?a5z$jsNAG z(mArjMLMqX8Um+{C>s3aJZON-%NyY z1UnSQP7p%kAw2Xmy%kcXjy%>vVu<2dmpW9H#4DQkFE}jGxbk>(bXd~v#YFkJUQE2Y zbE4riqX_aXk5`g-;+5jq2*`@1LzJb#6@Tr#Wk+Jic-Mn3bMJ5=3;*+zz%aAN6Vzkw zL08e)KTzOrD~%Nx4^NPn2K+-Z4M-_LQi#?6upCd~mjY|>7r2|F&7;TIHB{1hgaBaG{^4Ta8)Ct5hsr=*QFVxLgxE@o-j~ zQa4fUi@n&I$ytI#-9)ia=2~m2$bVcbE35XTHq^4`tjbKt}w{$*(c^JlIJihqr5&Prw0 z25MT%9Q>bG&5<@x=}u|4g834W$S;wF#NKre@FJ(vzHQ}5<|wL}5W`cd zIx;(}fb8tem{%X?-#pnx|OO-`8SgJb87X#Tf2up zhgHG}|789h!=JhSv#xV%l^f9N6%MVQPt=kHcRzm)?-{eG%|(^cs;E-w@1{X-J>I^? z)aZPiB1P+N4by1(DJ7N4&S@mhO53`=0Zys1`ahuD#ai?4mQ$)6oPUy2sZZ?hHRiuk zS5lRCmBM#*C0}Hv@Vv~QU_+>afIkfv?gnauldL0>rb9Ar{Fh{~r19U?1}81KE90v2 zt~U6NgKc4D-0P_m3L%N0g!*dsij58YE9$EZowS#$+Ztmbhbyn`eo2D%k;r z!@Z1^7eZ!*pM=7U}2FMpd}#vBZKY-x>2$39cf<3XZ+<%Rdz2ql>`W>qZ#JC|j zLN!ZXd`e+?m#RK_hEg%qcsnyb!QHP{bT6RhM|Hpkm5ta%WQQhML^D3MxvqoAgmo zp(UbUA1L`XMSr>K?kW{1q%0Q!3biU%Mc^p)cF3ckQhz09ec0sJ>*T5{ob+vEWZQ_A za=40MD2FnSB$(=S{ObcHPm?ZJ-8rNajAkVSqZpK%&l@8c?`GylgWGm@Ql1Q5sk$OZ zr4~a$+g`|?;0H{O2%GjWzseX6?!e0^&wN(Mywid3>iJ6mnUa24P{@} z2TrctDSxb*Zw#DFDp^l1l{(|UsWM}+i|kl9$*Gn{t?IHt;9xE9DjHoyj@vGD(i$$7 z#V5K+Zl_oWesa=joevlG6PLB5=;?k^XzBVZWp)SVbu6=wT7^np??+*^mk3z2%on3^ z_+m85eUa_beU)7IRhexikre5)lBzK(vk?hEseg_w-7*JNZdt2bW)gA5#M!}^T`{nM zs>9$~*g(f^LM1n=RaRb0pd!mDRAgGE(n_dwLMpAB0y$SvxeO#(O_{AsDSh zcYhWjr5c<}%g(u3m((MzB5LVgmDMJSvqS-@$R;ndrQ8{MYGzBX(yOv+wn^?RD}@S= zwnDjy^wi9D1uD5WN@dk-bvU9Xeis8OV?Vv$rKO{z71-n?qp>Qu1U3e2Bmx_Qc_VGR zb72KIxm!wORdfk(bTtKz&g|W788{rt1An_Yc3J^ut_f~SD;d0 z^Q;C3p&3m|X+~o{kb%wDp26|R&G$&(A-(px#;T6T5+M_pI**A$Np0{n0-;Sf=6}u- zja7e-;izYD+@1rUHUU$RlB_Cx3>Y&5jI}j@Y1!t>y(Su$9#4Ep1U7uWq%GNek9XiM z12T8CR8~%>t72-ZiYd&1+$O!Y3r9rmpwYQ(1T7;kC7 zVG@a2n4}8kszy#_2;j-73?-pa*MBg7KR&&m2Ph25v=$P*7C>qAQ#YiJ&J69P9PH_C z$l=baF4la<8uiO`e?VIb3=2t!KUXa;@htYtFO&D5T^VVO7>+jA%-R z5uI}VveFUW;Ky4FA%Fu~lJyseHOvh2SPQ;=WM=zF5ubb?#b|3Fh?jykVt>{M`EFx9 zbs~6E$ZLqicqzy$`D}9^G?%bbXz)ihLDk$gTDNfEZ=;rPNo=p zdcOILE!lhxo@Ng0(EwYW3V&^Noefr8oG4GPODS4dTAEIge!V$s9A|WsRpUEnY!4|V z-KsOXv97Ht{2^YOT9>YKX}SOiN&>*gO|>Z|A)cyImsz(8vRzEI>Fg+hDig&dQH;oIT$toW=)uv#@gnt0)vanVYK-GSI z+*F$m^pdI8rJ<~zYSn&y+*F&~_6e=lRs2~dfUY^ zTsu-+8iVVSx$^KVotlyQk#l8}TM4~3FyoY7JJJUz6`v?`ZD7XfTszVS8ZYpb6m2&C zs#9w`J#wy$a*M-&P3X0KeSlKRX=Sb)x{G3V#Ru5rmcn5cB!6@5fDf=)TMCCPNaorB z4Y0{Ag+mr3bFJD4kDM!;+)_9U?qsf28{v`8AvSv};jazEo$^;|&6Y>bmCc4xcx*$x zBU#XR6DZLKc$_YJM>4iCWIL%S%E7Z#wIg2^rB)J!UKwqej$|yQF477OaClx-y(7Co zVdAeck1SB>wSSu)IafCRs&d%{9odE|%UmrU-31O9J924r$%2NBn9j8$|A59K3n0l0 zJ6tV3xK^cptvE1SJ%zkl+{Qh#Tg{?;bK=+&&krMKSP0@+j_EEP^ub}6@=B1ioWJRR z6)~Vy=a)T6g=^802$y|&65iVQi)2=ut+5_pX6-J~?|%yvMyK~^cnpc<@1ytL6a`LX zdqYalX4dz!9XK{5{H<(7$+nwzKJ$lmdWT7pUI{7`>V$htrd3%kCSRHF&)(X@$d6Z7 z)pRvf*Q<>kHCO}5k1;Ath)r*#`Z#0t;$f-RZhIUr#I z7X_HczX7HhVs-(cZNdvesT-K!~bYBau@yuY}dxy~!RR=e)t{Z{|lVNW|H49=A zS%a7~kz^e-;65be1+bLob9Lj&juwF(p^qLUj(?w^;A4{R|Irrv&)LXa2+)3br>AFarJ(10IhU_7i;kCB+5H;PH-2dI~%} zFMk4mv=~P5>aUW?adbSgLv-wqpz~RExA$I1w5t<4vR5A$#&rQA{(SFn@ZqF#s6yLM zA;POYx5KYB6(6$J9~Lt#l@?J#uGE4G7x|WmhrV?@d4z^P#6^8D`Nbc8WE|iU+3puV za7P$A7$0aPB)hp9QvEtmF>i3J*TN{7w0}6hzC61*{&;?#%L?Y(syexTWxz#MkqG1G zvr#|W?gCXuWfod}TtonDfZSDtC!SaX2SV~e_~zKcE!GyG5X;=2*wm1{$6_bH^=~j= zm<9CcT8bXscz)<1J^77Clht~XWDeTUU;!)l&l+hp}25Ui5a zl?1D%y2I)d815(N)?eW7H*Xym%74mbXl!s;W)6A|lU>pza;FG%86q|{y-i)F9ZOuY zcr5Xwvy4DPAFQ1OkV3mWKMca4mwG)R!PyeB3tVpHz)|ez1KQ?^c+5{-l&*f zWGmTM=9AZg=?pr#v%zA`Qzc1;n3QpoWa6ybf}DE^cv@!3xx#9(G95{?ND=}}JCZ>( z8TqM+=N6#z*0m>Xf+kOSX@4zdh$Zg-Q`0!a^Nr*)gkAyDYMa@yli?a*3>d!lzc-m%WiTws>K=~07-ip8Xqms zGPa{w;}m0FLn?&Fyk;9pgtuf#k*Jy!KIDt-QX-qg2Gc5blgfONO{A8qAtfh@mi~+) zn+C2+7JY@kom{WsNq@ifeHT@RQF8dWU2;%qyD7d>T;@+I(TO=jgBxKTbQ+M-NtX>c zB_;tD&*^|T1>oUNKh?J+p-~-Rz0fH;LAi2fa~Fk=s!za8Bn5q}L5E+5NF*f={5Dc6 z5tHI`B$NO7vy(}#Wv~UkuE9%GHRUC0t8v#mUb577+IXaS_ zC6RnTiC(`fGPzo0-ZJtrEJ(7!DV+ z>c}-1L$E_(>;z#XI56zvQCwVnXQ3HacTO~%W)woc<(jF)YRgLssR=~V?vld6hSugK zvX1xvF-9Urq<_74xR4ntWju}rtQ+oFMLqvOfxk_ZqvT#7)}v8FBsBv;xrpt~XB23> zqnkocR=WA*InfD%Ylg|I3O}T5hxs5!H3{_q+tCOiwOS&kYqv!d1k6U@Lw01dOMj_HIYB`w{+nkp6x{a8nh{m%*Va zgs_0`28b4J?wyiO0(25aC_=Q%;KJ6275;2HS+w!w=G|>Z^D^r}p-FBvk+|acR#Q_> z^(`V}vVZ!fOZOLcU{h4!qEvFADkC;OgL<;brXSO0dit$Yhc$AjFRzS95=M z=x@kb^@7pAd^szCV;!upT~DIxX@J7qcfx8CspP7-mEPgEq&5}Xl`Ies$i-l2pDvQS zbj#q_jOw~J_9B?>3Hp6uyZJU|Pcs2wWtswBaeorHscBD+y3O2}EP(AfAo%Yk^s>7u z2u{Tuz4D(4y?@_6 z1x)~^5)0ahOJ{9Ky{zu@KebwM$>K;lis`wdM9EB3HFydrSzYBEWtl1#+8k3g^={H6 zGk;}r)wrq>#ZzrR`!ripZ-XOYz9xy?OqDHTbs zaa3-=cH>i498xWgYF@DIp0MJOYVk-^siSIMY*kS-nTPXB9aY9*tBL}OCx`U!D1U3e z!nU_ep1mb?B&8TDxR^Y9OYTSmG?*$3C>9qDbOcwGkp_79fZS146qQZ0=BY-T&};kd z6pclzf@JILRVEaxtAf-lravUw=b39qGFD^J03jI=M^zM2>c~&UR>4K&s5GL|b;n0K zs#xR}c|FHmJK|Y73(tZ>Z0@;s;9&q{k_GU3UV7~Su1r=d7y%q}?Z|VXGx1kdTt@SGqdan~jPHFSOJ+@sMue*)d+ zxNFk*i!*{C#iq9J{J{AG1KD;5t~2pwB(NK3r@q@~6abwUJ7Jn+SU%oykUjShHh6vW zl-E*j2;|(4g)!D<2HG*k9b?=g#wZ^MEpo;pV+^MdbTo8=;lhbJW{f0}2VsoOdHi;a zaV^GJt%LJ1#@d{oJI1(UjO>gNe?!Ydy=gpGNfiH+ja8Q5F$f&nt$>AE$4N!e5QnRr z6vBp`u@hH@ zkTgM;n~GSyY?f4YHpj`5d?vdXFgP@ip1j5Of9uO1?NsP-D#$q=Tp~HClo@G@e|MF zE5ctE0Y=u;js)Ku!YAAS5p@-RxH`RlB{&gQQ#}0GeGqODeu%~Re@M7}5NzSrw}X)o zpfIxGMD=0lBAWWy0`JqI45MXAkgXc>6pB)Z*7Kj3`8_()1oOVyv@bi}x8r@A=Y8+T zn7bk2v+qXs1PS&WtEiZfVY3;2j`cUp^Xyyy8-9v^#C&b)yO`B2qC%6AkGUoo-C%6L zf(IV_g}Eqn_G0GQe<2r?Wz7355l&b|g{9K-jtQ>A1o@&$-t?~?!U)ZZzg8qKs!H;I z8~4l#V~Wbnah%=t=G-5ln{(U4$Qg#y|0?W+D(dP}%TWCkaI7;Ro($m2X02K!?dpLoi7C}ewEWgj2kvUq;)%drsSK-C z%5Ve3)s(&^ZR-e}mX7dgAzskwOiimY>>5CBe`G{%Z5@$U8zUP7`P;zHbsh3Kg>#if zLW8qH91@xeaTCN%LGhYD3e3&LAWF@D_9OoqbH~GsQFZ~Zyd08Nb^V(LFcWS}eN%8C z&)0Ue!N#_2+qSu}ZDW&(ZQFJ>wzI*;*2cD-{qp5cgc zw16QWRg+6KC8$LksucqsWo7FS!+_%D7o&elUo~|qDan#gp#WjUY{>zI$7mx{ASpa!6 zY!jTJa2az;jlv}C5qGE0K*37b{0#1l8_Q1!%gPim`E{Pf-YPq*gX`VdH}aKK9Hb1m zb(M7*5}T}>!9JgmwEd-$siOBMXmHkTYk{BjEhwgTC!Z5c6N_3ok`K%Ms-_8Vgn*-x z*@+GaTWn_PVxPU{hhC^_?dPd(?vYkkC5+n~iiaJ_4DR~Ow~I8%xl<%6DX@F^m}6JQ z5U%w*R(esM!yM1eg}Bl&Ci>389tYu^u+iv?JU?W((+W-LyC)<$~b>y!TN+V9hH+_xGq(SU)e?d~6fNMX`41O>|0h zwzI&`F28_#C8bAfT>~Jl5Nc7zE8>yGbF8sATy~!Vrs`J+8RKN05*%t$zgcUok;pxo zTyR9GaYS+_RmrE0BC+7d5S1NIajeL1SqIlxBK7_h)~rsd>M6b%ZsCK5P>P_5bLG<7 z>QR{KgvoK8E7=bZv5W1Wd}XP{p-a(b?ZyG=V&i z-AsXe=wq^>t4~0(ZCmY?5Jamup45KQO*Hm|#k$^h%W@jf%l*&Oc|2}~%wY8Y^o))+ zCePF0fW6|V`vpo^yRf~}CMDQHhI#1F5gk4XnZ8~&pC;L%MEx-88ifuSl2mA~6my5g zp9EAgzb>wiYu$$QGdB#)TM!g7)5PS?>oM<7t#{z3`-g5q`k4yGax;ZcPAwJ;%EQrl z!_UumHbI}(HfK7dg&!vhZ2BOAz9X6P1R=IJx}Pe$@A3X(wWW938_hFUZMcsoSA>rox zDRO`k9PWCI?h(;!!v{MHpSo~o~Lx7_4!s-pM^0u1rAm72cKq2*uXDRpWk!063~bsRMQPJSQiU zFC;;dg26#f&)Ua5c8ih>U)OwGL>Oe=mu4rPktL^Rgxgmy>|}nemG$G4?nZr{v#rd* zP0%;V>os$V=L2@@W|x#YLz^|ym205Tn5)K5i&-;@M`dvWxA2Jeu~HYxe&EdJa02MW zieS*8Ev2XtY<52uGsaX-{WC%KaG6mBj#;PI*b^rmKvsZ!d!^v)Y9*qQvRZHLj` z@DtBC(&IC<4fM5wp;MV1h{^KfULjEz?SY=cP8LnV_tNBBg z6`80})t<8O{8@=TqiJAm@C)Vibpe3AYA%&ZqbhkkH!RFRJgckHOA#-fAj(t%WKzV< zF}WcbCs;X%Zq3{iOr*Q$bXNT}{WsZIyp9}>H*rf@!bVLwE}T<0YwgJ94@g5WsUPS% z6Ad}c@W$jy^~db1X0LdU*uGDInut(uFkm(MC=}J>dhpbNPp|l+iW+fV!U6UN^-K5m zR-bqy_?+*Y+W~4^y7668phX(rtgSxJ6PLChdmZ}PG*-xKhR=ed^%U`^^YrF$Hr|sg zzq5haeWi?0n;)Hh(OO@ZwO$1GRSlv(I~Nz!++RwZueP*@%Sv7vCm2&OKVKp5p;Gkx zthzFLdO&4m&C(2buHI|lwYk#%%e^^8T%tG*`-*43t739)XRECqq)k=*)54v}Q~z(S ziMln_=G4RKOWnn`hiP~`1*__J!qaKzNkSFcI+%X}M=!_`6qAKXYo3TQG{jmu|MPz*4N_Y_W)abG+{6e;QoAihm=47oVDm?WK?xIYM+Linx2*f5UgCm_PnM z71s7k|DPnuEE)g_XYosN6?z7m3*%4s7KwXsM}(BSu0MPVD~l`Qzxto*N~{#!`%86& zbj?YU;4rZ8D8cYO#4<8CLr`SE@jJ5B+ko2(lo8%DYyT6Iwr~H)kbroAza&n-B9eNJ zxD~8>IOSf)r=BO$9?~?s=+66r&@alBJ!lw$jwsNO0swgkeR1|U#ZZ*4Atukl-)pC@ ztuY6h6A?uvayuyw>h6f~=MHwM(GE4c(vqA2=-IfJ_CVl|t{pD~*B@TwRKH$j;tLWZ zjT<&vz2m&xw^uK@12lJ66h2LkKbP7ii#&ogFY#3z;V?K4mkviJ(>fN**Yc0HxlF7i=I=<2rv5ZP;9&Z(`RN9UMc zhorV3y`6m8fa=G_eCAE{bSLX`Yet3)-s{+O=7&+)#m+<+yU7=e>rdQt`~6TU{EK3_ zXx-gS_e%cXDQJ~=;{jzdE@)LNe=SDq4K(mWURb#u`5?;#uD#F0VTw86Z}xgFe}Chj z73)RxLog%ugO0l7u0*k0Uz|DG0*eGPQIwMFerqk%k5s9pM>gp9?F*#d)9>J@F%3A~ z0RD>p@vg(0@@s`r4^=w%EHBhIccyHx2;IUN56l}Jg{z}lR@cHfPr>Cl7knaKoL~U_ zPhR#8<#d5VK=p)KHE7=7BI0dG*3$jck_&j7OW(uPw{^HPpRnJmyybtPUI^;Jq3oyU zBx_5%=7=mlXB3iTzSu1Nfq&+C7SbEYPTA}5x_bnDqEdteC7e`U&0 zSCGfJNwn^z9;A00Um&nWTuP+Ns}Pl^^7$MddHLUkA4wzp`Ywdd@J%fcB;0;}r#_1a zM8h}bzw4z0l6ot?F`Rm5$92pj6G$om6`^1*xE-m4#%L6v4#k3m4J9`>*FTL-FL*%j*NeI!g#)=K(nW=8c9bheKN9z+g`?lQjhgcV>YIV2$UdXno zXAbp^AaB}<&3gym6T|YZS}Z!@m8DeH^Bac_eY?rQ-#*>Z#l(IBYktgLJ|CJTpS`5> zJyz`1ZQ8dgeeem1gV3O;S#4GSiEle-r$MpNSlpGTfq7N-?G5qt!~8j_d8VaiYf&0XhTs z_$Zf=A$KG|+r7sGm*8LW-vJrro#lZ8FGj#4Y?|eIj4}5|>aXGK zQ7{O^Q8_uL8oW*Okn1j?5cIso3(|g>>A3pSfoXvQ!JxW&L57i~#*RLu*(&m(0K|}H zm)%}D9iNolkH+S~nYE?Z?*3tKL0CjY zqQ2b=z*qACMpsTZ07Yv)_~Yg; z($)z&YKwNE(0Amv-{h)2Rq|wJ2jofRDEFDt5{{Ws6cFJHmrV3(_+$D@^6&~RV;vQo zPV)H6lO1lVHip32t}HJ@`f8(A4#Qr+RTBV&jnlYBt!`KGk#*P+ybMgLHt6w9UpB2S z=L=zNUYvOhxJT3ll{$$9y%B3Oy5HfgV(z3lB3r_#kJq(*E~0g5;%;it2Hi0MUMKvUmbuP#F!1WI#Er(l8X>7PkHxXG(22k+b7%2*qz3 z^vMKHX7)!Lv`p9#*-3;{2h$+D%PGm~KRCvqu@l*ZE8*Z$iEQ26H1b?aRdE-({slNl z3U^Ay{@@=9eG3%46Jax{4nHJtUs%DFod^G>Dj3{B4{nlXVT1GS4*kKK3!4K4o?IKh zK&5q`ou>|ULD#~;Eq7KS=3EiMcA@# z>E1~#N6X0+4ZoG?^1aXRzK>V{tjqlpxGO^<5HG|WQ~5_K=mzVpAX0mwTaP7X!_*pY z=R0gGgBkLHjZgklchjr!#)^Y~7PRHhffTv3P`b+j-pf8n0eors4qxA;FiOTto1YmU zNBK_^hWD$h*^4>EN9RT(Pqhg4T52OJIlRgv_O;R7Z`IL*y!la@!#hI&57MY(=8L2D zlNXmJDxQKUY5?SG$k>MNxCeH|=OMyymde#iqb3hssv#?|KmRT~HjMC#O`53?P8hIK0@Aej1`jExfOBK~2S^2cJ za>5}!9EaqgjN@j#Qg?DBZd$hyi^W0{giF2>FN?E-bmufFqs%!)2fKqxOBQmm|`BH_*4 zUtotWe2GT6*%xP(YR-{D%;v}Knt(iMd-+exsRQo(afy1&VaA^ollXrXx)nT3vO zVnZtF+6TxU-UwDIygYe$0-K)DpX|hNCx#4RCX-n~gizObDi(T8(-=?;C>7IW8cXOI zR|aI*nh8A8dBAK+?b`*W!9MyXA_qppkuipR;f&NZsj_8Ef83KyK|}lM6sYb<6qzzg zm#eS0OC$3(Oh^V17-A_!D6X8WIm@PQKN23 zt?Vn+qG-z{2|t)awNUvD|-=n-u8}g3<*O@J=LV^XTu^pX+ ztgQO48snqDGEhmy8yol#>r&6$lC1YTxpBt86=YTvX2g!@+O54;%oEhao(PoJU1L0e zURD(nXhP{BkgQd)*vkGo>DbCLS=5u)WFm=i)94dV4VlEl&t7+Xy;+jP3m>#HwYxtO&ZBE}v|_4k>* zTK>zD?|&f*?(ca2>ABPEs@G1Y`JJ%Cj_2sLVNi;wwmH6jZvI}r^7H+7_G9N3aL?5` ztD<#kOkIysQB1T-NWVW3XFt<-Rqk-c{?<<@e6p(dOAzT_Y95`mRZtu9vh$BN_`?YHZgCDXl7{gC@m&mHyx@yrcuQ8yFy z#vvZZrww>>+j3ocy^~jcO+PklhR8FklMCB1f+G+b%@VhQNqSSZK2pJS)5+;d+O7RqS!qycdR% zMDX>I`azX%k-#d~_I1V^a}pfvUr^cfRu>n4o@(N=shPA;FW1iO@2c*uCRRK@6YW2fij+rBlh3;CFeNZvsM#6|I*6TBLK@G zaBOAy^G)c+g;ML=wIPcQ$E7Zftc)Dw1%?%K7^5vh!y57Z}zf82;4-Y-AkdGD! zU?=LJII+)E0Gdd{( z#12Z^@G@_>GgW_^fcwgHO~`XAj;k5npTz>nJ0d$)&l5P!*TcRPsmvWn6X~R?soS>$ zlML?!hoidOQpOpVdN^^3AZh6K?nY$!de(G~u0qDxsN$hFM)vg-gHq!%9~6Qj_socBo;NZK;nQCx)zf(Ndn;#Z4t8Qc&b!OgF)pLwcOG=7Y$i z>3XBY)!n1SJkhAJLL=u*rLl5MA!PB)olJ5WCUK~9O2;BCBF&=&5G>OgRc>;HOU^D@ zG!)^NlWBhuL0tjVp&qcZAi-HE0m^BEq#)q6(WOILx_5D|igI;t{dt{5X?uaT8=QbY z!=ZI!+JS&(Lg_{E;E^XfXw`jhI_UQ}#dq`8gkG=0cTB4-7%$bwHPoI6%(G$6ke@l9 zq0Sj>*6t@;!4nQ~ClSlj{kO95=YjL(LHDV4&yv?#s+=MQ^V6-uo^JSp9O~?ypnqRI zn`7OE&wjonQW?dp%`1FUPPUdV&^J3Kpq2U{B2k0eNm(xK27$3 zM9NRO#cO(af{C zgO~t4oP-AP)-nQ6LF zO;z~<)wW&wg&7zs$8fQqqrp!D*6~c$KHw*W=cl;)5G3@nH%nW5o*hY+D|JY&qCjkd zHr08?6|#uu9HlvYT)vpld9U%wB33Cx45Sw>Q@x`luhbIJlELDp<}mMF67uH-kN=Ts znu&`$Bqc6cO;C?;Hu?;kEqs^5?Noha)UXvZVPqz1`{|YhB!?t@uLBa*3eBsQXFTym6YQ*+`S! zCM|2}tonlD-LQULkEf#RrMjLm|kv?w}Z4ZwLKZS_#AcysSPZg)_xm6{lqQnccotn zq5B-CX9Mkg>BUSL3nVGsUFLh{PQhd{vw8PvSTWQ5ps& za^S1{sHz5otMpcRlCuWDFIdWGyd^`8MP^e)_dyYO&*FRYmj+4K*!^wpQ=>Z5`wGI%*}|@MBN* zlplV0rmLQ{M&z@nSVOj!=n%$AH!$5q3e(Pu#$rl)_G57eZMBDnKL0-^!o|1_J z9I`QO)Ed!zWLpg5{&Sf&Y$r&oHk|+1@iCwCo6oh$eY?epQ#%fU8=szhReOKUA(TM_ zWQGnwYbGfNDg=I9!Cv_HxR_7{RP_utp1{oHv7yQdoQmO*61**@x^QQXMN!2nePCZ= zh~jvyIR)jkz=>YF%Kk9kxgIJlLm-=1{u>3mRmJRa13Eh>eBT&XGEBG>HjWj>p0Pnb2|fe@MKulP%@Z+kx`beth0RDwDy-+ve(5dF|hZk-DRo-bau4W-$lwLyT|6k9QF z=Z7TZDp@fH)N#T6zfX~lvbU#Yb*x@!A{RklAHRT$8hWdTW1i%CF3_ds%z@C-a9Xph zeB0F>n*OJQ#ALKJnoo@}>o=+`2bii>=N*S2asDkP8%JEwwtJ=&XmV$r$e4sCJ4<04 z|D(Xh+yzODl$RQ}rDcnV{Z_!3iVW-W_8wX9rV_=muafZslQ`b0n1F6rZm4%wx|%mY zqO$J0C;qEgi$Ht++H`6JNIRlq;X?dt{FdAtfl#5i72*Rm;xBo-{%DRx>p!ejZ0e(m ztp=((<~os;f0O^H*;mrYQLNTg*p+!!fUuRsEO9m?1bt*`=b5P^`vAof!3-DYW$UMgUtr2XGvib@^<^L<@q#n*njIP9>%l}N-O1m#AXvr{W@baigy90 zwo~T{vC1WPj1G- zk)igT82aO-if>M0rJ@Z8+Sp7GncCr72F&d*_^mCa3XiVQnBJ~7)~I;@Uksgs>1tJj zd)R?(mOgpST3PoD(ssIK+{#Z><_>d>2c-5v7bB&GB)Ye$=A-T-GyEh;^*v(q1kkT09sx0755z|>( zV9Bua*LnQmMvpY*QeNb*M+>#M!& zM{N(kZAujy3Di;2Wl6T}T1WVa&giGF-a-z0df##WNOyPCIcf9YexF&)yWXEJHT!bS zfJyw-7`9b?s^f9oTWVzy(}2rG{xgRSQC9x!a zG6KwbBgQ%8L64LuEv@z}KW3l3d!gM(cu#B?TQJV5De<99*2I7lX$$#8s+xz@)qo<{ zakr@Xl(UA!&w`&Yz6T@;W@bqm;~2A-4F`_Rp14AGnB|k3bL~wOV{hn8xw>DiJ4RvG zcHON=Z3h0@1C*6ALcq(#@AdOSCkNN~1en3|o~x}uh-Uui^b5#2Bq|fHfq|_1n-@!p zJSY0_zgFVUV*Ox)LIm$GEVqHLH zzB`4&@0%5j@Hv^>P^f?RDO{oro}TMZK{Mso8!8!=LesB`N1pw<3hvJ8yxhFOLGMZ7j*2K5a|WIqwcjIa*WA(FyGCs^E*tZ2Rb z`E@QjT`jOge3SC6ltU=EfL9*#nCu?@i)r6fxNWOE?&p zGo$@xq7y0D?e6+`FU%2;?b&2YqBR35!Uu==4(zjy5~VhKgaz-(dm_KgwkirYk#Eit zWLWDc?f$oIWPwA>wI9sdWYPWbyCvBWsR(y$mi32ep|qv?OhgF!qjQ2x=%XRbm-VV+ z`FncKotWU~r9;sC0~mpy>c15m=K(fEq4O#Omn;4faaR9M$}e!;pmr{DcUSxFz1SN! zP^+1up)coCbBygFkt#5_5Xc33`cIJim3= z@Xe32=bGWO|5XO|{=4rvc{~=~Ou4u3(Yz#S+R`(0E2IkC*k&+by>ib}r%( zOUA%fmz-v=uOeW-CMxpA9l1OEX*foyk0{Gq2aozyf5&Y1&nX~UlDUJ zT@QKz6>aUtyrAy7*?D#o#OY$)<*lvad62N+a`k40!>N4n~h^+Ufn)iM&VOj zgX6`)fE@M293hk3H?>g{M73{;RtSr@4E91jwcqh+!r)VU;zCd5C&1X(l$^poLa@p4 znZ!fKn(mBPayt3szHyauiiKnZ8;%rnC$1v>=swKDcMM11h@$yZ;+2HPAv7nRD78{q zf}=DRA{K|A-R3H$prRNXLGtxKL;W#EV2AY=4wy_TDwL8Fk#l({XxrlBX8GPH+tXgk ziFRg#>*n-Vml^?G;*F}-E^bGAL7n@rB#InyqF@Q-uB4tLljbt;i(EO6D)Z!ffaUwc z+7@O`1GF~N9o;PB@|U07(1Msfrbzfj=;0!2{sO1W#ZtKuH0#4sWwvWeIzedXunN@f z9WbZP9Y|&gqCJl|tJ@wjcF&~CT49V;*zOFQbh4Yti=kNVd)^V&NCUYWvEat-Cxj|IBr~V*H3~OOuO~GVY3pB6 zI`IRYC@WkfKx{=#7Lq za8N>3t!o8&WnvDQ<4TXP8oi`1)~N5=6B1bb-G_VY1MA^Pm=mzBgG7k0#PoPjG|xOm zZ-*C`RlA%eB%3lmeF~92evyp8v$qp~% z?s=9(f99>XGcxb}n;%wB7~=j_pMfc`1<_Dfou6_aFgx63cPS@`N8ZuUe3pz(63*|Z8wzK_mt*21YMu<_}K>TmZbef0%ZmIKu_s( z=}~kEDd|B+Z{x<~tMMUrVtOl2_%AYlTxP=SWetv9?e$hx7EP&Nx|Mx8_vpMj<@1Hz z-#faM%%HjPfQ%s?JUiU>g7|XKRiHJ~-yV1(i-g*E7Qfo+Fo6YIO3(r?ss3pU-%on! z<377$2Lw^r-v8H*2oz~R1ab!)o5XM+ki!xFDY5)PsgVojf*gi$k+_5gGz{2+tk9^< z=CSPj)Ho!~Si^r2U&*;m9FPYWIw}HP2(90Zx}ocmWee4l_apNp5~(nSf8s*WhG6yl z`&rx-n*>?+US(J{v>YIENB<%@<^g;K7#}Gsv^SW-L2g}`f*J<-7 zdT#N#^0%(8n+#Noi5bI@T=Z0nL9HJw|1}ZSM@2avX7knlnZ__6lJ>N;`NK-=(ho8x zI!&OIwh5Y|dc=-a1!N1zg8s0g&VN!)cV*Vf2DcGvni?N#Yf(oSJA_OwMYZ-eR`95W z-uFz#L9^_%OB^obW$)Ttgs$vVLJWV5L4$CP4vVxI$Aob1M1$zyLez*qa>bF{fR>T8 zJ?oH}T9el5R*?E*zE-ciu38Qw7J=Q|JOito6a8IpbCrk(Kz92)|C?e)&@9k5YBgIX5!J?>kp3|BHX zQbK57l;PjnBtU@#&GCp@3tt6OKWgEJD&K`P+Ncc-HkVKUpH9yy`tX7oi}x{34BC9E z=P%~yD882%=}InqDsh_$%)j!IOM4Pa+_C5Vk}bO* z0(!4sdiMU8-=hY0jLWm|s%|Q9lVhLVc51LH!lQR6J49v!O-(I^dE_KKZC$X_oE_a` zIS;j01G1h^t(S8}AhPrRyN&!k=^p+z19A>cCF-0m;(KB=_g;NH@Xe*J>V{~BP!*27 zU2aOAa1D=1N2ipbY=PN&2MaHQSx5^;$JBv^;=lzGGza&HWRP}o*yzq#YLZUbl(f=` zVritQ!nU=3U*xh-fhzbEvn^35vsiRj$Wg=IlCn7Z-`UF2(M@A@bx-WirrO)crz}Hq zxS!N}!?awI$$7#A2`bKV8mH$|n7oTb?=9FgpW%L!!3N5`gN_ zHygjA?=B&!RKfvTJQcA35nhXIU;!2?EMpn*een@Y`c0zbR!YA!`m~i;cmXTY&Avzj z&23&*dEp|o`SF@c;0kpZxEoa0r(}H50W7GNxWj4WNhjAvX6*?8#h|;xGhFDp!v9bx zl7O&#o)3c^(Zcw{{||+7uXl6ky6L4DKa1+!DBTLJns|3R^Ypu@(-8hV5d0kb3w_he zdG=*bW>&nFoIAQ+PeCqo`h`KAr$w0&U9OO}#4?=_9w}+29 zi;xK&Z3*#>>VwC^g(OoB+o1F1g=(K!@sn{gGzb8q#KNPhUby~UuS)@UD5foSV!#eb zSjajV%jMw7o+D}Fh6*T8K3Acr*Yx{byBT`Oep@X1b4l6=FCu!2@}1Kr#qU|U0_MgW zTZ{~@t$1bbYuVs2ODqz%rtLl847rfdm1t^U2v^3f0}bS$=Cn}V3p%Lwt8QZ(G&Hn6 zb5AMPrM3Zd{@*CCNE+at;-q^mVkHox6JPptmvnB)hVe2 z@*zbPOm59SZ0ND21A0lTZ>BWyIP42*Gq{`0VJzrPMHNaP9o96}dk^4KUIo~HG>~%s zqC4$P<*^`Qx*h+E;L}A%tHBf3bPZe%FT60L>Hr83mw$Ms{HdOQIF;3ot?uZ7Zrg zS$KYZH)VEJMR@?nf=C4)-^h;6x2-55hQ26%chc*`Z9p5Wj;ZPK`kLUCs43T3E;_3C z5Cn{ctIK|yu!>L2bF@zd$%WV4*V>xBMK*E8LeH9H8N+Q18RZ|se95c7k5O7C*E032-s%T;U#e-K0JEH0CoY1#S!TG-3mF^PxS=JCJPYub=Z@+MMtca{rE)08j z$#>J~H-X#;O;nhI@_Bm`{Or$8wY);ga}`v#U*)n2qVi$H9b~1rH5V$V1`Xx1wefK- z=?oE8dS@N`?#hd`^~vK*dMK$oNcR;Lum1IlA_OX90x2-1iJCIQA2XAK2^OcLtn3uu z2;nU>Zkr;1gOOCc&91w$R=&sOp`Ffj9(9M*5Cg7{Z&xnUkBEYExwL?0&$29L-oF{d z7%lNur%rjjCzL^iXFd8PHQ}@k+gB}=s}N? zKtQ$~bRpaW|CWm_aqfVC70=VO-HRMON>xHba}(`{p5P(Zg5M_d?*fkLwo(_{7t%z8 z2n0wu^%`zFtLdBVM!dj-ma&Nr4}Sq(oxA$7v{17WZbFKjk0b$z%ZKM*(ImXmb>#Tg z;t5)s5aOHJ_r%vH@l?N7#1XTsB$e2j-~jQ#bhgN#agr#>>v~FewBer;xbwNQ-Q$H^ z2O~*5>AWMe1bdcc1WBIJMf@YPf7vs-DV>^H6si;dEE>tN9vosx>U@0;W0yqBBCCG< z?JB4OYWdYfiE6^8&?&`&glI(!7apXu{&nNj7D~x0&SkV36jPkP(T6XPoyR_+kwUX4 zT~3+n?Pk4G0Whz%r6k zA0feCQ9>j*oVPQ#2({a6r@>FhhThz@c3IV!kB1I1OP|ZOq6It@-IK(KE0sdC5&V+F zl^vP14hRcxQc0HG!oY5Z{tSH^s(a$1K-{Vt*iJTQYY>2eX60gm+-6R>Kj$!U(*vTW zNCrPtCFui8*e5!lUt%T}Ntm~YxoNslP~pn!_no0OhHTY)`A-8dqhKWM!2nA^XxHF5 zer?qzlO6QmNZL1nY}(K@;rJ~*SkeWw+0bodeSvBwU-k&8azk_+yXsT$8b?_Qsy*MP z&qLBiKu`hkl5o&Qm%dCY7KCprE(wAFW1lNzIu(dGbb3V=wPES3W4qO{Ri-0j2;?_qZXbn<0h|?w{QdMW@K03(sV@r8BESPIK zP@BkWzW8wXfV9bL6nwS_6QmfvL>FV(eM8vd!EIn5d5+jI!)vs36-~%7Z`;|WjZJP7 zPcHb(Xd6dj-3N{#B9K$OjgCDlT0>QLSTD2+FKS?BKs5+!?Yy3Sue`dUW$EsoU23pE?A~Nfhs-d-2 zcyuT+a4W_wwZfnt9}Xt!a7ST?#@`zry}A}=Ceva;N2eb6RKh+i zzD@Dj^}a2ZXQfMkJ%)e+Vl|WlLRgBt>qe(ux7J~uP|TULah`2d$NRb|Qu(duKnU24 zdho`EZpTp))glD{6AIrfI}5$TSaB^UVPA|gO&?GpH;JI-)yA=u)*rssg@k35Vex&B z%PrT;h)=AWm^ikvV?ICN5?&_I_Z)I+LEjNB%;NyG z?uVJ1&32sPk9oC8r>z7hF@H~M%s50j*2-;4P@MfYZwfu9frG63c4|lRUu}=9!P@cN zR5(Y^6I78hVcyNY2AvZ(9RV@385~q7Z|mNB>ztU(q1W`d%(UoL)B&Y(;6yP|9$>BU z$r;BogF}`T@P=#NX>JMCx?2ytq`h!}YrCrWxQ+6wY$b=Jn_rKiR^e|p@Lp`ix0+0A z2H@Tg(tP1p{R+dN(tr~;LOCt6&lqmDuN1$XgD)VOt<$pD#USFrZ3?%fUnnJ{c~d03X6& zxTKd$_ye;hq6V%fZ<_R9Y77I-VN$kT;1eq31i|pr>gXzO! z2I9*^W9x$S6?pX_I6LdGJ@>&q`Y4@*wjO3yDSjHH!ixV|^X&f3zB$=TMy*J4BWxPtCmh3NYcfKgEVf}|)<+lG*(>6cceL|6KS1xAGd zZ(+FWMa`X;(J*fHSR7CCIEG}|pu`34q7hfX!soC15R%HIw$Vq|%26Q!?-dhk)13U- zQ?w?7muQ`j(BG|Al|)q&Vf9cD9GMkZzR$l;x+%3+rWl z*(nh{$U0(+f!c1|#XMZRKmncdo0xE15t~1j(>dme$O>@&7~@0>f=ua|H2e+5Od#}q zLla}$SMe$KV$rXDV911mIDt~k>-BQ-Mv!Bg^=^kNyxY&AB+ob7lH%Z|4Ae15!s_$_ zK{kA7O$HzkN6upaWV)n)pb&h4!4|a@oKLUa67IVI+glT8PYzaQ)9LQDnlCZm{}FtY zSVV(#ex%<~BUOWW9*d&c7C!K;*e}nXcsCR6?u%-Y8QjAaKKl#uxJl>CAtwU;VV2!D zb%~|*m@^uqy}QY`;N-q9D3Gm;nnGN?mH_f(Qj61w_^)4f_P3O+kg^WWH>`h|A~xZW zvx13MvP4WDPWyZ|oWsxGZ-4egk<1{z!BaJu@h_l(-+RkTa7nz|I1)n6kxCt(l_PwJ^(&Er137Gzlp@4QK!(WW%mX_&F06+m-XD90B<-tJ-T+RJCZnfVB4ImRYbPjE|LF zBAhz`@rAj8m{NlD+tsL1Pi$;7mX!OY>i0YL`r|B82)@zZZ&)jys4l^tsA6r192=8Z z#2ep{FM>3(NyV~8p}v9@RdL9+Wz&b&^}auMcG3%@J=sB2^lAqQBc_ODLFXX9|%JeT$_ zWp}2x_6!A<-+EZo&d+=WLMb;SzMouqlt?{#j9h5Uy!4SIY6)dj9O?;#xWKS<@Eh7r z?9|}m;hy?WjwkLuX3AzXNBPME4O}ufGsaQ!M|7xw_tjwzNqmp}tU~)k=h`1hsICzg zGoIyjQw~c`2rWNw4c5V02E~3N?M8I>rLJ_v;M8V7bBW@L+sMx@HS0FH`8pTUH!S0! z+96nVoi0u4);^TZ8H5RI8v{CgBy)MIB-C^ftNu+BrfXT*a!zeQjFqdfl`A7l4( zVr$Z^g*S0_rr&YI!n|-CE{KV!keO|9UgaoFE)tqey@`v4emW|2EHXZ8v@>SdIJ{@U zG2P(a8<>>kjJ!)4usdyc%^JBc_EFIpudnX7DQ&T0K5;Ll+)P?i07A_+V_Ie97}M-+ z@a*Z)Hj>sPL&-PkMYlBr*XJ_g9Pkhr&^G4Rq*GlvBd-}l*3IYmp+R^392Ko;v2()m zwt_hc3*FvRlboqf7g)~q84g-F_^I<2dRTZ277Jqq1?ppTSL|H82Lvkk%?ou>(H_#2 zhcd!EeTG{ysypYCfCsA!cERQEoe{<|ii%zfx-0m0&I1gheNM++_FNGX5uK1;UaVY_ zxfwVMId~us#41f8()}FftmWWVJkr*jpkO8{-&osRN{Eq6Uizsbh~rnWji-`9a4GoH zX-9VRNv>gtd(*(!Yt9Xwvst0Fs&Bz*Gy?tE8(Hfqg3$?~0TyUuI$>ltIYlJadtEpA z%4ABna0D<++Ka<{EV)tJrp6OX1)36XL-{(F5zyK$XrndaMRBRhrDBy(L3mNf0+yEn zta?`-oQ$9HRa)3?>4;#Mtz5=puwcaWlX9q_^u99Vv~gOv5KJ1Ce};8X?I{eFno4&K zPycRbQ(C$y0@n0%CtTF#;f1R9NGs{shlQmjX`1&76q^1vT=eElxPL@-YEp9t*(+WH z$b{^XnzWdW5yjKR++$x*tQ4&y@KzvL(^pRmYJT!An>-fZuQ*z7nOYNy-%2d{*&pDd zHr{FuTKgth`6AXlT;Q?$sFcH(Jxp$(xu`eAui6Vx0^QV;V@7Qd@>#+mg=|bPPhwyx zlFw8WW=E8X57JUZ4TQP~LI01ocMJ|Jdb>S4M#r|*vDryFwr$&H=fv#Twr$(CZKI=( zGx^VZ@64SV)ca+=?XzoFovK}R@a*Te*7`n3{cFb`J+6fGbG%F%}mjVO-+Fh z51QUP04FppqwmDL0CfED6AhSw0vR{f&y}fuds9eXcqWA>Aq1yu;IaqoteW*mPr8?yp5d1|Nc zf*3~oAQVXNVQVG5%JQy2Z&4=;3M*3;Q%QzIz|U)IknnK!eqNsQxm|Sy;8mHBVz%*=O+TA@*V}qL<2Jn zOXd#=b->nA0fwRHdpG!rX>c+hUGpH(5!QDWHw8A5lOrk?-D{&?J`g`5BOrv3JLUTq zC+?F>WhCq#03R)osLGh&_1---rwBk%tH2N6kh}Wf?_x}F4>-fViG%yDTYOPgDU*|-*^ZFK@AUMfr^$kRQ+KYl zfg79^n_dq(dCK|6h- zCkn(r#>Nku{;Judn+KdwGyKZ*$yutU+!xLxHOvzWgseU-1H;vUdU9JNhAnWV6#{5T zMudsAO4Y!jmXAk^D1=s&Tuzz0iSFuLB7{@Y*;m{eZc>EJon~Ss)#9vcoSrK;#RZJ3nO@1XfE^Oc5DpL4^ zm8#y5|3I|v1}>_)@`kFd$zuP$ECf&sj-~TcH*N_fT5@3%!i?HvEA~sUu?zKZY!b0Q zy~eSmcJuv;K;nqZ9<5~(p=U>CZ%AVc%`S~%@S#I@|Bsl=cMsJ2K?nU#6Z48P=;;@F z1KJ+VPvP*tz2Df;Q42CHEg!r2v?`aFW@B2VL)k@xwu{Hl+{T|qyF1*07vL{HUx%{K z$J1lv?e6lioo+Vu_V2CAeaiay`0YRQ@$s01dNX#DVrQeH<8Jrs_eJNNTR-`@T01*` zJ6rwK6jP%l1h}3L4^J<+yEM|yM%knKJl@Qa8BX4}bq3`=K>6Xe`=M^#b{Oiq?fh5N zRA(e#dX5jCt<=NkvNQYp8i4S5yTq5OPx@e^IMrBxvu!DW(euqC~wph5B>xN0*CipLX0YB6@g8<&t?-!;{6WkBvpGymq z%lCISNV+=1(K0HNw!Y1Ly74KK41fpAceM!cA8y#`!lgC7IgnJD+5HTb>RF%oKV{yX_ z+&*GAe0(11S{vY-#|~{p60S*uqEzR~yldE>^%#|{;gn+I)AQfzY+}cLjRF{fmNP{x zr+qxSlyx|jZ--j`JS+Ya;0fM?I6tR)U(37!bjFuxi06N?xB3!bcK%^(NHu8 ztM-vrjGXvph4EpzcggU$|8a?fV+ln*5?(i!77JnP<6kj*pv_HIXVa+oorBO6OR$Ln z*^xi#MHvsWe*}EPeD92*W1py>sFeu|zq3IEz= z^(E1_YOD1uuI$n?D9pXV4?fe*piw_WQq%+0(5F=J`@U~FSG93aI1YmZiOCa_cKW8q z8&IGG>p;NcP$R&M0D*?$p2=M7X)+!{?>Q%oXtm{7BFIzFRN*pAC{QA`ycqR_v^v3s zCj*j!KfEq;)Y*sFA3%+!+)hdf9v4E&B#QgAa*rbqDlu#`vI-16ySBhSBq^3{q-^D60v= z?($S2*~=Nf3?s$qit*VsEkY2(4X;h38FhICkS|Fmma&Z1tS0n}o)~&fkRWy(r)E5U z^Xa{Cq~DF-ock}jv(QOcm*Q^OI=3YgjE3|RXOziHtdO_6S&8e7P4AMpH$^OS_~1>& z?%z^$gub1u1I0vnz1C}_lkqtxFdR$95d}CxF z=h==AUe~K`I&j|&B7EIjmn8Wv^z!9-oHW|vF9Vg`OFH|%3pAc~X-{XFpRhnDA}Qs9 z%=8L}6T5@{K4{eZCFQ4xv?HYUL4hd$M(~CNk#5clAU3VLIJfD3olri{&<%`d(Iua+ zf1(SY-(MUiAP`)UkN(_xQj>^ZkutZkujVQYd6DSu3hcccPXub=Fi;e|Nl2m0WgMG~ zDBasT!o!PUR@)sF%35|g#MYV8yp)uaw4{PFsv9Cl6%w`kcT$_&ha=R7 zvVXO;JQyA*Al~pWsp?f5LKcU9f=K0+cnHfdsb zc8DC+m{=K;Ve7Umu?=;#S8*CE3cCz2hFqtMf%D5PbeOf&C{3BrLNTwQBzoBo#lKq# zrg_ZuiXmW7$fRBM%_Je`S*8_wZ=Fc}$ESMlk1HV)h+T+JJihZ$-$2SZSACC?h5&-P ztf*0Ow(Y&RSlfPgpWkP8cX#st5ppw6$AEXM$QtUCSdifL{jTyuD*dHMdG`kNkRgjh z5%J_m{@R~z`na1p}3C-%aeK|41(oQAjO(Q z<0%#X8#`58-G*7cepzYR{4_C535hk_H*9c*Oo*d~dQHj%ZdH76U33GvxgUiV+^7>4 z#|@Hg4hVGw_7!>7j5U;N#*7!%qS8E#i>Xuk;^az}INZDGWJ-bw>7sGKj|Z=0>C-fI z7XvT{?A#tVqL;i_P{2<5aoP@9t>_$Lq~n_*XkiUS60~II4EHaJLW6RwuB`TKYUN&q zeS6_`M>06*r&gFKQB?kay$%-~y@mdyG&B1+D;dhv(X#Z>N>lmP$2V6{asf(-D}xy& zl6(HSWp$;ntGR!r4;;+_6$^*Fu%-y;$-tzrA}$RoG09}pMnY`^Ixys<;Nqf11-hLu z^NJ`>dDAjY#!~oCHKplr}Pi@Il z%l+SK`I3n?=x!$@6T^Yf0C`GvQmH+<&(BuFsLM`QYSGN?(G%>@lbGU_KQ<~ka~0NHEIhxWp#1rC8x={vp27>%1+sf3}Fy@VXT6WeWj8wqCzI3&*~cbUY3(m zCS=#^Cq%`;ldR(juZduu-(g8is{BvhlZo1-on^I1{~d|#s5(|q0{tWdrN5XB;?;{r zV}NQgQ!zR8h>jVZCw4wLQ9Iy?L59Ay^-`o}-WMGf@Et9_Tt86Y5c zH%?Cxu#incFZ+bgj~Nx8uSJjq&CBvLmrfdXa3>+nL=~y{9419*kmg8>FATuZpQp5j7DD}_I z6jMhB;IVbUtC5}ge_*^1;8Uf=LDjz^?WF`(&(zJ|Mu%N;Rf4R9D3at4#zqcZ2#2$5 z`BchkwiqcnfxnQE&;^$j&KY7wnt)y>H5j8AB4)AU#{E<40gQiqXlsDE6NdAvk^hP4 zi4~N6y&wjAi$VkX0NDAz;(v#`FU0>Q`)pQ8D3U``f&=d_7o!n&G@le1cfCq+?E+WhGS-V)>0@Lkt9@j1GGn285J0RX@x*U^3vh0G%cS; zM!7*Lt)5azO+>`L&F}cEdz5e{x+~|6*M7H5}Y1wa_U+b+J3(%Pm1|L?BB>a%W}kr&bhm*8jKo zrxsgP0j-=&rxJ{?Kx)wLXP33iV2w74R*h0Ku;HMg%BB)$PIR8M71TM8uLoVbc_yf9 z9VMF)nW5>4`JwLSJQ>BDC{C^Y&o)wxPk_ZiwQ|b8xSv|U}P|4`wC`=&)cT^{q zZ+!A~r47;c+Gtx6q`s2ur?5^@G?(LIit%#Df=edt;4Hj8n1%O=yPeEdB{HTqSgRIO zJIwA1n**FS3}R2GRP!Ts#601$XKMK?@O6~Bpf}|vHHMd&O%^(*O5+FXs^`2JoLuK( zC37miatGxK%Wu+Tn**W>qtOi6uo-E^E0n`gcV+MJ@i#n{EKf;}RS^~|w2iGV$jSK3 zbc9*C7G?rXCFhi)Buy;q>ZN+!T>}#$*pM{{7aLM(I&l3bv&%9-#{`EbRvShM7?L|k zJX>?#e$8!Goqewd!6gt4=^Zx>a5289`qVVUdRq03oFeJh$6mFriTd)c)pIKdYr3)a zB}KA97{p-R=n@-ygj;n2~KH$S7pn>{oQU)`+@P>~fBI<5nfSpIjM!H_4 zU56^_AP!C!OtchL!9;G!BZGn}diqj0$*Q2e z>SfVX=pqClcM^kQh3S$p%BnFu^5@eqw!w+s2M6~+TT7x1jn@5u=Pv}fqPTF|5px+m zQ&~0V$y0H)aZ)F3^5;oHE$@`swX#l7|o2}@j-J#6diin#St4qywZsJYvjO@qKEO>wF?g7r7sF0 z;KVGz7=`wW&EMXvM2}OVhu{VINS(%_Y;W$i@L%ZMOB73YO^+e~b@>(fQ?`vNB}BUL zx(|7=MYn{BmmV4<={drZNNYVruAaDm1ngjmSI}Q@G;!G`Gp;ruVQlA0EsYrCbIK+n zjON>CFxw{ECr}s7nkCH$LXxXRt=U^5Va?*Tfn@>8`5K z>3zL0OcyKQg5>5(!(%@ag{);d{W)-YR07Z&A9Ak*LUY5Z(w{1k>!)}|y&MaE#h4%U z67}zx)b|2u>_+{@P7A1)_o<8PCjEXCGrqeu_tvU#xG$c7(;{it3lnantW=Q6alFwQ zID#9!$!6~na4O$2P1I;613ljy{PXZwY3cZ*>GTFMQVu63#qW;f4TT<56>%0jKdoA( z2OP#tyiExAaz}@oYC5mR=gnb+h*r7=Eqc(L{5K#n0UZ{YOKL40w@~dt^kcv}%Q8*X z;RgYlY*PA_emsjstN9}-e$tBWrT8VV zJ`S*+Tp(9vhB=OxfJU>9tK&0q;j%yCmvXhQl|_}zJUn8QbzQ_Z_m8++(y7ppAdfq! z1WQ0Y5;^@1!jL}#L$;(KAm4C-H#n-O0N3QB)^pH6wl?`|W}*JnMka~Sq@_|8TXw7E z0=&4?tj_{x9(^h|>85!%oRj1f(KOppm>iIU`6uaD1QmfznQb9wjr4N!I6^Sz?D+WS zxG{Dj=NK)cK%q1G&7JHNbZU=RER#rTnklI3Fa9u^=Pv3n+Dj28p3;ewo|@2bUpacR zNVc~pGOf|4S|-m_Z&7>f;+k_thJf2hKvis`O&*?sWp-8k!+baF8far1Tu8Tc!YGie zoaqF%&oBx))^)&MW&X&&NYsUvqEIH1@~!igMO=U5ztB5_-}s^>85l3mZ}V~ZuwLdz zJTjDEAg+<3P=*UeFRvLmXU;!ZucI<%e8+E{+l@WiNYyL`Ogy-C=2|vl<1g(svJm(L z2{v|>rf!|v4rW_O&5CyGerD1GVHQ^-r-LoDa>Y`*X;yOF%Tt$mgdMd~@_WM5mvNEj zQ98c(YQ?js%5&>X_pwsY`(wOrQcY4`Y$R&nRrGa6&Jxm2e_|7}qiEF5ov95oqZUIu z`xX=2{?I?W%6cgrW_blM#ZsN=c(T>$E<2FBXUamvXV%huKTlZlZ_!nPWfIuAv| z%`;dRk#0E`Z5LcZ5B)aSWjMr5^@JS3T%)% z`$j8C_3Yp)@GLoXQ0VJMgV^WXvgtU~a!W@fJ9}Z_7JyKiN8!5-JJ9-Wna`h-{b*HW z4fRvZsvd_cR|DlbW8n)f}hSsIFoiCnBL zwJJjCr-Wp)@4-uXXqE02MV{kC9x3x*x{)cnhIcQUo~jmga&&y-LYW+0+cuqFY6kS* z$T3*geAEW%aU0%A#=DCj$EWnZJO*C}U(3su7CTKzM%oCXb6o)&kH+;gBAN%{+T(O> zdfv!1=T{x{Viz4btBsm}f!!Uohs0`;XrBgVk#u&}ts@%QO@MwSjVmRzX=h;CIrq6l z^l-{U)xG9vnGF>4L%pefX}qpghH6`D-I0rEjf!<(WoSQtIvZHC-jb?x1BPeBA$+&x z3W}6lF#nQj!7adI|7y~xI`_f8w(;_aXl*sRmu;mpfq-dcB@jJ4(-w8##dqE~!04uH zbstMBEw?S-$5ivh?BS5_F*{mxOT8HCQtLw-z;Nc&r6cKL+1BKs z?t@9!Z;^8>^*O>`psVO)lK(}fjj;9D@L672AdFNS;4EzwI{h+UyI=6}zy)oEG`=Ks zg*AsyRZK+Cm*Y6WBXIr3Dx(|Gbj!Z%_UmBeT*AM=&71z#nKVAfz)d=hV%twO{LVAE zcc$F8Vj2L8dUZ%wMImDBz*xlH(n4c@ZJP3(A?xxOPleEoP}j%5zluW1ZnW^ySzDI z6-Yl)J$1>pb~arB<*F|p!Y#0b%-$FKsIN|$MUQ_0^+o?rMlpp;ChDc*qn^XM;@ss6 z^CA@@!OStOrTX4AV9uA2!X8F_+$AKZib4-VTU<&z(KY%tHC+WtB;52d+L)-0+9e*S zT*4vlA9HkYTW&*o!-edUR-i4zWUB$^R8(YOn@?)J)K!!To8K2#wm)>6^IV2mz!qFA z=PNA#viepz9<7c7&iYBItw(-^bZ;9pRNP>NQTHXfBjUL+sisypdYi^50z zTN)?NTx`xkV`9>}c-RS0aSJpCd}k3OBmSoVqmEvog@x0)v5ane$v5)o?+G4GmfUxJ z_Dgi^#B@cMf(;p^F(be#k#28;&_#05@!V~g!c5GS)na^_G%35vkKQ%xw75K^>7D+I z*|o&ixZ+83|xdxRv4pzb>@=LHc`|4pV~h!{PAnMO=m$bxnD zdEYy0g~M(+LU*^;X|0`l?+09y+G7sE^inDnjc|#v0)HqV5(;Wkq4>oTv8MZN=jYq;6@&7 zvGd}&1droh6x%ASawDDIW8DT9aJbjpQ*E?<9fid5ezA6jD1(H|mO*$p6eMW10Ko1QN&380XIE*4Ochxh%+aC`QavY|1yN_vcmm4N-h`!nmA8dHKqk!);S1K_Uhd*m60;VnH=s z+}rw3`eHU~y!9V~?Gf_sDLPI_y4pyi=5Y=a0)os_>op*8ScmhY?9DbVjfvfCNIYA3 zlc~CO*WnwnOPwjp>gU8VoqoJ?_S zyj`~QzbxPsqYA4c>ON+DBhQ%7o1$@P`Z6kZaJ65YFv-N;f$S*Pq*%`_(88%M;7@g} z⪚m4=cS*Vnw^qz~lF*us@)z_*dV~b}Y>})1xQp`;+*sbCFTuh%1)^t|c=L1|8Mp zQoArqkjOMJhu87PHzBsj%8iIK%UfM#Lpj`uEWQ>Q zR^OQwIIPoWVZT}|MpS#ldwM1Wj`WzNm`ca=$beVozK@`x!x{lwX6sCrB18f~q(=r0 zf@T0j2(o+~l9FSo_pr##4YAnj;CBESJ9F*rZ9~ey6Hi0a>Ed2}tGy;}P zQ2*Aue$$XJq!qkVnAb}$>c5u<(-rPw-&ip(cFfExyr0~>$f@KHrX7FI!tGr`LYsqQ z5eUVM>c_aAW}l0vg*?13ej?2*#+n`DG%EuZPu76|j z?&-{sVo2VmSH}qRCM{cGr460sYN6heG9N3csqm8Fvx@JF!x=zJhEX5r32nx&E0^1PXs=K(z4_ z97o4QF<;J)qu8u;w)H)zLyv1yZ^n7Z8F0999(u2jqTZ>Q49XwNzE*Iyo{|TCwovAG zn-{~1PAnQp+Q}t_QBYFH3l4X&m-loDKDAEw)924UZ|SYvhl-wE{aon) zg~x2KFtEW(??2k{(|I54RAU4T)1f?))!R@>ZL^&zUG1CY*httB%}Iznx6NsgPcO5bqVB=|+#mpT z5SA7h-W5LH*@){TPgEu{)PnxrIzHE$&%eJT26RG{DI<-sBWIBk?j-=WB%J@w{BEAP zw85uc|Go@G_j6&)^KnAb*fa0139iKkj};X-+|+D-^!ZRDF)6JJa%-AR&^VvKv~tjC zLSSHISsEmA6_+AJk7rewn`pPdklS#@ZI+3~fsWd$#81I2Y#RT_4EDsK#tD`pNf2XY zD|fc7o;y}OL_pV~>K_G)DkJY5l|W>sMG>+Z+xR;Lv9X&dMajw)jkTw^JE7iSO^jD; zS46pO=M~XbhmLl(KD$C2$DnIvYUwW93ZYXc>VMnY^==jXheMIq)pJyFyuj$TL9;{s zg(6@9mc#g<9f`I;%x4EhA_zat4d?qmm=ss3w5Iul&ksN%*8O$-Q1$6iHpa;-KgnYa z#vvr3XT}BpC%~OLll6Kmy0H`J4LwpCqa^7@vY%G;@r=a3t}_E`#&uK9a9k|K|H8}p ziS=B5dC1*nfYV|^Dg`AdHpZuMJessj!KFB-frpf%qBl#|{gAwKN53+}&<%Mv|3Hn< zHB_y(EC8SleOS`7uxGzf-K#nDXFfpe!7_N%XT4h3v8B)0#yDAk4agBWdD|_Yr>lMZ zgfv<~N0+Tkl`5BECRnZU;r^FAO5q=Ayy>IGDoo$7%rt@q593%Ujr&Xittty$qzR; z(+@O_t31>X`onu~essw zFD)hUu>S}N{}&x$zle7x8*S$i1BQNg`2XxF{huu*Q)EEBY0((J6e*<)W3>BkNC|bIK1d`hI&Zx=aChBS56A5E68Js|z;R>r z5P0a4oAf_;aN!&6xd>a{>bfJpJEr`um3ainRtu%|zu3aY{jhVcf8}DSn_{N8ffTc@ z?S>%@lKgKa3xV#Pa3m`piRfBu=LdzmdH2${udA0)xoK7JV3wB)VSf#|?3rbvR3kx_ zrXDAKc3tZhcsG5b_O2rdWyvNs5Pc4|?~N{Qy!IFOY>@Q$-Cw%>&FN+(__stx^*aE) zPFbI0>d-9!CU0EW0p1j}ro^;(3QRdLv|jB8P0G8xgN1wq(NXcu8FK z#A;ckfr|O&tS=9ay^h6E1MS2b6_$!)@N_y>yr%(`ZQ+^B-u_6 zZ{`JQ>+FmZ7o)uFhP*SnJ9!a=k+!|x1bc|yunXTu8121VHmA)WEsA9)Zu7m}T&%2I zbUEV4?8QVP{P82iqT6R}@WmcQLMBJcB6QcUi}!2OADyc_)!6uw8B7H+a06lIo=0(S zqmwZph@H}XxcjH_!&$;gay@nlgtHUDoO0tf;q^DB^>9rtyOsxjS3<)i#+x9~<8UaG z`&{;lqGO`6J%)HY&Ne5xUfCDO-(w+Zp)=u)baI?>EjC)r(`1hlz9T~!8?#O@+m()L zE)pN;XIiUQkzLRj(+Z#_*#UhjL^O6Nc^H#~KZAbUEgP?YsPFk9o)0vT1q(2H1cHb% z9@Qkb+eX@sD3KTo65kS1&bsUo9;-##z~MW0bO)CY!+Vv*7d;yCkm^oaY96`Tt#)UH z7J!gaJlwkkX(=&D$S0u13myyGe>wTVG`lT%ie{^Ird1 zbtx-rwpODk=-9nQ=%>p5rFc;68*-h2g+p-gRMvlZ*3KggK?4<7@j8vQrbv}BT5SeJ z6;oMq5rxXyGIiN_du?n|>^}z&wG=fX6)qPvLenL!$mCwScOrpb>kR9sBUA=s9VS=h zX4qZ4CHSSvs*#s}^`BrmM$AM=-0jRGG@t-&l%nXS6n1YePd{35NpH%oqgDRbOa zN*lI&_V{yLVzeGmXndA@zFTdYlQ!s?HfJ^It%3d+nXVZsr0<4sE_g8OI`Eh4oTel4 zL^OZ2c0Q58cH3|AG2?3nzHrJs-$JoBRatXcK=<-!cvIc-SHQJNmd!&`fUO&JThngfMJGK z`mg{8#Ra+mD4i05fM$cY_qWQUJ_s7tD+a-~gV9}mO!}bt`!sz{^+pOZsK=)jtVRkH_||=Ey0mLUV!umR8ud`_>)0V?8?*8X1cQ$Sm-ar zJpK~b4p>4ntWmqoB0;cV>w)hEnW6MW7#s09Ni?MYh>2_?wJ+DTsh9UHMb`a=MQMLx zl>`xpx#ugpw5|)yz*jFYV6Ss>@TV_`Bznfw*tgHQg#K|iLB9c%K3Gn0+FY6`(;9Q~9mFstBd*d<6*y2J6vZV~^EDI_3D>2BDr3*;zGkRk~24IcCmU zqNfIP0wsh`sPBzfvZB-@>Mr6&s^v>B&_aofxx~vHANWr|MmG-0a5O~}EaV^YiO%@Iei&ksOJbH~(lY zOQzA8SSG3a-jz6l)JaJtgr50cS9xOWDc&US8D1}Hd_soWEcZXunQoTU-U7C>1?7K4 zxgjZus3T_b+b%IPf0~LSq?%jwd&$jMFlA z$_eSdzK6!BGe|0SoY3n1(!WB8gCsul#Id;)^FULf1T1SrW=!-YF07>@a$+Y1WCp^1 zBh|djsvZg=!coDkVwPTmaUy5MgzYYj1NY@$Tsl_(7guUD zMHoC`!0)Zw=BwDFRA|A)jQ~|jCN+K$W|@VVMHr^IbL|!apC|Z3o=S4JG({)85{NbV zBM+$%T=P0gK7&A_2humsn2!0CB4dJuL$yZES)VNa`|QsyvFv3CvF$HYc#5MjXbq*? zNihl=3e(e@$>ix(=U6Vi(MO}Fqw!*E&yj@50UzA1=UeP_0(PT$mg29e$MYI!U@8*Whfdc-(Wv*=ib#0QemS-CgmF<9fzEH*ud(Nt;b~ZcuO1iCp&^w*;H| za<~rO-KYkBgrS4L@sR>|PNJ6FeZ=}-!#mh`_x;>?sd^K*9b6OCz*@usiR8m{&tJ#(nbYJm?<}2%0EU<` zr-#es9>+!_JO%VPUW%1$69{tBn)D}QT}ESet^aUL4Ni;cFQOYgiN5o|qx?eWPQcoK63Ou0p#>sk;Q?29&rLsREu}TvQu@zfBF=EkLC}ALx~%t|83z zG@QA#vI}fO>h~rSIoTTWw_iq}ljUDKh8OF9$z1wKLAi(`TmH94H*>pUpJXc~R3f~& z^03b);-asAmu}Y>7vatf=vS2U$_0YiTmIFx}SK?#gxdV`SY?6Wk8e?FMa%dYL>1$wK(=aGO2J5{J} zd1=DEl&i}+maF+GL)~=hnnm^bIHjO}nS(P`33#n&6q8@sPaTmR)P*VU8|6F!6NA;D za`gkhYZGTyTX)9tnnDyW#gI?3ek1&l;#+yUH>@lpxRi2RKnR8lDmZ@O(J6`+Dvxq3 zAHep}8Q8W$WP8p1p>X6B2Qwa7dKI<T9h+zn#5}=4O=~fAH2L|+#9-; zk(szBt3hrHa)wVq+wLnKl&@DZv+~_Ef%kn*J*$@hCZ`wmeI2~Kly`MtQ>MPnQ$nb7 zu7Kv8;~8ST1felC8K1?^nfR_SI-|G6lA5kkwG-kYq?rnA{UiomDw1#G6Qhlu#R6xI zM`f8%kft`BNL!>dnG6n?89u=X!4MsQgCd&qy%@V6$&NQ{|H|rG$J0;QB!`f0bn01< za43ZbO8((rS);kgiXgLK8^-4~5Di}hd^>Xdt4gKy2lR-!hoVs)p_Oo%7l8#1RVO@k zijk!#P=g7<4*FoFX=6b6FeWmt@aR>_O7ZM-UY|X+mup-e4I7+sebgnG%74o=Ocr5NhF7%Syw zxm~r832&#zo4D@BN~fJj!ka=br4RV^X;E7Dv&s#+18cJ=XjMz`uj7g(*N>rY`9FO?TxOT(mg+eO%A{7YOFaF;8s^zw3G=Q?H8`0^y7^cAuI ze5EYRqpdx^K;G`0goFfDi+eJ5%6_Un)o8rj`Fbh862BX^c4iruM)>my$XZUbT z_ra~Azgw={e{Ss7gm_N=e4_N)S7~W$(_rwwry+eWx)u6v#V9otMu;X_7Ds=Zay_(N!-hDD190(zhC;0yY zK8C*0{;%Mpz>?+v9ef-Uw)wqd$jXaikkh|}V#s<4BfK70D4QWLxyjWk|8Pq^i6^aE z9&p+sNvL*OYSpn%{ad^6I&ESrLM5$^$(JGJ31EpXcYZ$ot!gnfx#8*Us$Cdee!sxB z<&el%HRmQ{dOb)jV>p@V%y4;zIzrbiR?h0ywu<9r|Npe^d2Ft;!31CJ^me`zZCcm? zg-bQb^N9N&=bf~+!2FOf(WG{tE3}4k;JLk~{zKxbE{K!~U~aG%9ctYwN}+XCkhktR zzF3kon4!r|(Uh8FQi`p)RFD__GCot)Gw6!RP7#>Z2a#KZR%IcQ_K5jgIXGfab}i+X zeOc>*w3Ccvi|6D-`L`iT&|LSxFSsRz#1vOauwuF(309vT3M)K4>E_~ zfZ!U+**Php`zA6q85>`I?Pyj%HP%y`!wYrU&-kzbgc!)eGAK(Ym8@feEDOXdzj-jwX*%*7Yp`0iw6!a4>NqlC|DZGNMEv2} z8^drBB&IS0>t~o_3)(&sjk%E$h((8=+HNSqp&2|Hhd0>8*1nAH%O9yDIMDdZ8ZU&t zq=29itBXQhj%yrp!l&$v`(asz?ksM;UKS0Y+wx6t26p(P+GI&)Qbz?;ne69qBsTu| zlH%+W(Mzj-nQl46(6||SjVc>d$as&7RbI~U2>dJ z)^b14?Gp)B(*rM*u_rTa6g6%)c-X|1<`OEofsQllzNrw74LN&f_I?d279J#kd(Q=` z!i_XwuVbp8)RP z$vR-rB&>bV;E(yXTcoSMQFVoV?k|;)Y$VJY#fqq-D5;yAJ0p%jusfjSns+B{v8#Oe zC7gK^LJ-y3!MpUm`XFx@PInBpWYGZC2Rs93jR zbRj0?nv$70Om*3er)hnA(|%z*tKhOdTEZZ15|%48`18b4i0i43M^tfK|2a$NmB@Es zY6u(k^Z2@+sWNPh#FrSYPVZUj@YC#Cr+uA0&SiHxUeE86D?V|eiEM-6almRcF4Ns+ z^fneiGdsFq6Z=j=3~NW^4=J!dma*FXet9;8Z=Qn#E-tyGjS@ak_;Px7*5;zp0K3LI z7gAuL{5mCLTlFUQc}SU?>#sNwA(?PO@0rp=mn%5$wN|04GR%r;xwPJiiPSK(j2XA& zY_hPVpwE*o`jBF@qBIulK~OYpmZC-3BJ2YE=#jveW5)`zuHxY8H#nFw8&O&5KcSaE zV>aZ_=W)`)?Yk=F`g@!O{Y!n3CGA^Uu+1Bn_8>!y+i&PE;@}-}<3=Za&9@ zvxVmNqBN@9Mkig#vb&@StV9cH9U8kGg)kV0oa*5cV~={g5|Vv{)P|FOzftW6{KajACk268^(##NtPO(J z@eM?=?${MIjR1V%u5a}>Bagvc3~F#du&;|*fWh#FUE6FOzb&=#Rx|E7vJ3uXv9mpR zH1PXpYX>(kkI$WU+mn5UciYd;?fdy|2V=te{#<9#VD3bSa*P2EhgNzs?J63cu|q`G96QJKQDxC&IT)F5 zN&@98O3tXB-uw$WK8w+*A3ElBw0DX@9ye48QgmzM}_2SVf z>GJG%r%oP!9^Bu))CUluJV?(2OG)=N1nEmn^PYuf*5;ZH#|=<^vs4ub1|G7gh-{qL z;GecU7!4|D>y}_}pm`eK6j&ozK#(=L`?}X(xNLC;npnm|2M~{my7fEAn}XNr(g9^K$u$ zRu??!?YBIe*@SdAr_bVUZ^8kFYhs+|cUqrSj%*o+mxKCFJ}$mCf8i!7I8cT*l4;%& z*;0Fwb%F6itr6_}8P~D_AbkXn8i!6i-L}%$_)JChiyNnnQAJ!kI#f;rVYLOF5t3eb zu0FR+i<-2F(9CNyg%e1NlH})oWX*)De~5Qp5QuliIdapT?yp0i6~cA)XXDA#pY%9D z{RvQ6s2}DLwqnX5KkS`yH@dOjsLZax;JTFieD_dqQ?FUCdnp(KkZ_IaiM@3&rKDqC z18>40+Ex`BA@9!eNnll@6_E2y`twnwo@z~UrF*QEr%aWM;I!3-~ zQz;H#<=`SuB|bz2ex}()MKbe0COAX4q3Ki>Y2nSBiGSq?@hp@=lCY3npdsY~8j|@I{Uxc{Cseyg5U=@>6xzOF9*SfyJGqD!akO1r+Vd%&Gac z)jzG4#3Z&NZ(})Q^*dx6l{Sy=n_yE(=Ofc>fku0hX_;UD5VrK`Pz6Wbm%_S2oHG!}(1D`A6kVO@sVG;L}suiQ_u z(`A<}D^Yo&!-EEM!{`wpNztH<8PnR+9+sAbO_9*R_luGfO|N5)cBm5sDgu)qu}tSlxlt8JCYhA%~KVigixnb+Do0n8&+ zF;8%d6#6S}YY1?OdfKCp2x@WIsyPzGaLb$r30oD}Tw7L=!f+flzfuOd|1goOxUTzx0+1i~>L?QUr_rDt44&U_-I#Y`kYw?e< zEm%+9k2*CD%H^QPaViN8K23M(I?cJ*hO=e3pA#4nz*U`o28Q$*Ov@kP8CLd5hM%UU zH_DID<&rl}KOHeA%#z&l^e-)htu^L1k=b^T??T00;>6_-{>bReG8pU1WBX0t#$OLc zyYc5aw#p!&!*9{VIt8iFrvG-HwJ_pg>fu?H2Xj?jVHMp;a0(1|MvOhTkGM{YPakj5Q}ZmX z+U>`q_0q)}P!etARkO)7iiO|8&Av*Z$W%@NqUb-RAs~vJD=p2PLQf8#Cv`d8BV7av z^(0ByFUKUm>e%T`2M*gC@mdy<(r4Zym|gD85DSZI@}HgfjEJ2e^Dt$?oEN)i8n6e| z1Ha$IkxwyUP-jF`Cg%_qs8MIpZuwzjep}*Z&~@3kR_(q>&j%q;h*fRnnBS(Bi@saS z>!6=)o0c<|A(WIF^aV@jVt&WLJ^x3g4nE219x7y5z8>JEnsjJ%w^WCMv8rz@B9yy! zxW~aVU$Vfd{zm(aK|Gu(3;K8EzQ@4cIiRUl7^tY;iYMRY)Z= zg;84+-s0+6jQ1GamEIg~TB&+{gAT0MfYz0Q@0Z5NjnMI5T-QB^+@(m)^B?jexd!p{ zB?8<6ME&iaPv^8)GS?njpK!!&TqYDY8JjP-?$?%hwfo<9t+CR}w`)O;-;m`4fQ&YG zR59X>;LJkNKdxJ*jErq}HvD(({2p@-C@okeH-)#)N)PX=j+Bj*3}sZKZC<}3RfscM zx}Io=>Rx{D4m?cg>d^7phGDU=z=@h&d55&dGrfA%7tt+u5blR3B}3_H)rDQ=%$e8H zaCT(Rth!l8G#mTVYxb6sOuTss0cZM~@m6ndZ%BPwF?hRQC7GMl62$ZE2v!Xx$QN|~ z*swOqsO^9z2%1zh8CF9%60sCQkpKJubpI?@N&)=2N0D0=k{tpMNu^(!bfGj(Zp-tH z8%YeeY^#?dZAp`bm$392GaE%026UX}l;Jw$e-6enzq#CyQpRY*523?a0f4HOL*M?w zgvp55Gmj#DTSkcp6T-urVC5E^I+LYVe!965e7OUKL~AvQHj7BBNT> zlyI;jMgvs+CvLmg&!N2nG{5ma?k6N#d}Nqwpo=9`GZ^8d)wytuu^P2aYOx>$gEph! zdpxbfDBv+vovAe@x8j-@Zr^!>b@MEE{dE`W;GY}(DnP;QwAGG}T+6uTar}u3(A}9D z>103j2(7>BHL^**{@eA}kjNZ{gV|~?8I2`2g&UH0u_L~UM<*Bsgs(?%5gPjpN65^V zgjpi+wIyDC4ld)3unor)eiPfbur`dwCNh$FKx3i^6mWM7w~ZUP~PFKG$dcT%VY3RYyJFzR&oR{%=_fC#< zr&@Oj7O5dXHFR#C$wlj5<6rqDs7q10ukeo?3JFq_0UUKSpGdIPSphS6qXV}>^S4DZiIEs+-&gcvnRSoqIcFattpj;2nK7%3paUS89b5;_WNbP%8X0@_qh?6~t8ZnlbT~oM*B# z)S7Yne9*yN}`#iZe=#DG=`QmJvl?G>nmvZ@w1n!kvXmm~tqjE3u5bMN}Ed zKLDzT8z+rjv%4d!PsemO_m&|&N?B(C4ep1KeqZ_~!^%!{-ot+qZ=FbR+ z%aqnmOIoj3(>t)l%!jJ16fvQozsMU{3szS){OT^@Jmi$(r7LYz)wM8koe@M$TS7zX;dS%fG0K6I7} z&~p@z&=Vicm(OcH{(1RyN|7I8Z=ijsS5R1B`j?{BDO>3M>O&?{rYpSNEFIp|Uqy|e zsqXfdd6a4hsyuu)Yp5vEI@QP`hZ`I~D9-oKT0ev8rn7?i5O~ARb#tKW08Ka80fGds zRHr6#-3V%B&%fIhbqx`*Orkg3MQijrL&z}Na7mAUhNuMgaF+JWegWyEvcbKT2x(@; z9H)zGgAfC07EhhX!@oa#SDp)?qUx`%eMxtXE_^{X&8fbnEUHbEqDux_Jw{l7p{;sJ zMB*b8By((P)#f2ab3}4#0eyp|vB>7tiM&YXi1ZAG|dZMn+wPOC*PXqys zaX?y2`fW6biJcTiJv{2rfjwP@&eZ7_JQ5iRK|hVVf|G_iDm8$@$mm~8at%xl9M4J?muk84YcqS!;r65q1&8SK0; zM3ZalW2qFSz~K;Mjt=+TcYh0!xD6A^x+jx^?`Y?Db0zcoFh}oad_Y9>o{2(4jAD#ls(fzuw7cBrdtqxj zWRJpRL_}=&e5&~nTlt>5)V252^#saoTX|%d-19fady4-9;K(iAO&-4T{9%4Y1STgk zcw_`N+^T8Ee1Ez0P&xn~)~8;P@e8u*YL~le3hwOHB8utL{u13~-ft zyvg8t&&juY^U-a5n%F0Sbs?>7jIk|$sNX=-u7a5f{P$TB+s>SA$%hj|JbAdnv+I!_ z2hb0U?XH+x*L#e*mrLPqp(F3o3>weCA4W8{E4HVX@Pi4P9ZaB^t`M_RNpCb;$@8+t zZ!za}oQEUr>86cSyEW^(^m{wv4reQ^7y2I#`=juX_C)X62kP~fASFGIch6sTUP4r0 zgEay}VqExWTY)^bSbU~t6!6yI(5t$yPy0}^{pbY$4N~?c#l2aHYcU>O`FD2nZ~LNc zhyGUmaz)v)20kok-Y(hIy%H%(sYvqP9{prci77$(eLWE2;Ry8nL~low=1x$KhaVM7?qDi}z=1jeh$@rn?g#6P-YTp=^=r zkTQn4sY=p_v|kTIs1=A}mu5Hxt8)2Wnya_2VwV~pFhkLic@O^gR{k*udKXsXu0SDb z+u{IV>u;GBK7{8#2m>rvH<>O?Z+O73YSowo)*8KUNn$$saEDe&iHTc;;6eOW{A-PQ zG~EPx5JRr!rxfcNxLf9^z;dsvKB|Dh%GC_Tx{r+NGuz4ldX4Xk(lx?TPrkrgRoY86 zYxW?(cTT@1H;e5jf;g;4{;_p-uCwyAlTyjpsJqK&S_bRLo`|?;#0RL?k{5;ZMGdvI z-VH|R=!_c->HR9r=SU23z>Hs$gSE}$nb4^qd9-=fZoa2rXc7z?qGu*v&TafRt%K!; z68lRKd@6HQ|3hKoC5D@`eAF~WJ{()6`alwZwhhWFL>!Nv3O(6O&;OC>bV-Tt3GHOJ z{4J-*T>!>%+>gG&&Uf0P!GwZd+bWm5_*GKfRd8BK{bmQhyIb>!?&lj>S!Tc;;&|e6aqVr2U~C7iR)M zGJi(8Ho^Pr{_*YUW9065;dEaxhi%kRrrZji_$+!u6JO-1OjHhkvhv~g9V|I}-$+}> z*F2sp>xx>&{u%a%y0NoP_5rInTaS1xMR<2B`()FDkCz1wIS*~n-_kStf}i)C`0j4Q zECmKPGZYK(k`ppffP9{H{L4yL-x~{Xe_qmI7pAa4>yug0UNF}&G|&oUEYHH`bn@z? z>)}3)R>2oVioe?mdg#v`{lip1Y8w7qxUZwf5Ce^~O113ERKCWz41RoG=VK*s?WT_uz{>XoIqC!OAkEgKeH*Ii5Cl064gmrAEI9bJ zS&%)_6xjMt3hq%`H79khNf|F}3qi{qc$rO=EX>Ue*hpU$-Gh7?p|#W9)o-&dh|ckA zoZn_?Zu_OP_MJ&Q66E#DDpJqmz~8$fy*rvCR-fV)AzZO+PlBscfYT`)B)E?SD?!E-ER@sl~*lK<(N;^Jem3wyScbelPf8JaqY62 z`=BJJ{mfu<2@*o4T_G`QmQ^q*UwAG;PfO!D8KQZgnT=NIav6#$4f}NyNkaliE?;6n z7c?4^Bm6gi}F!Ysb<@*MQ#O;deFrKjICYp`BjEG`9;KB$aJMgwc$#asruha(s6BI*+d5 zK4#xS>|3sA91Jh45ZCilG1I;7b3jC|)Az%7T$CIK@S>ohdQS0r#qp_Snmqyg@t)1U zt6K&{IK~xyTsUUu5srA$&r_%er>qBuTn9ur$d!#+#ncB>^8o6B-T9*AxEpR@>VS`z ztlQ5#zA_5`<&}z8TjMxALBjX7K0>3zTMHx@_-U zCq_ikmdinfQGQ>wZ*Skj0VDsksr=DA6dL=qX*B? zJx_4+DPw*qf+^URV+F!L$4r#wny#)9CMdAS*8y+ZA97|iecb{&=`DKKPngVqrQ_Y7 ztt9Yrfi2A`BXCjT1-!lla?2*`3>qB?9;TSG`GpRW^Bi;wBt}Q zL~Z+WwHwY7T@AM1g`rS5`;BD+ibl^6t_TqElVZFcFedP{<~?8^!w49S=X%NSuh6diaIJ*-9|WTsh$u2VpG6TsF9ws9Elw4 zI0fOA7ld-Nzrms4s}xOgcq;@$t2$=u_4w9XGH6S82PS`I+l&_G`cg{$JsV@@kh@Hs z_oPU$$~GDUQr-ziY2Qvbeqr85RfzcJjBv0+{SWF#zv%!1;~*ku=9GLV&E-MI1>;uS z-g`c9FjY#8BF<4uxTqS7=EMVg!0`?rC!W2G@V3_^nsk`_PXmks=oR}HMv>8px`;3` zE#iGcYMbV#_(%TwM}VGeH@1fJ5BKLA?#nM*EcjmtwTq}u!&OQ6pGOjL6GD9?W1@O^ z7BUwk|6LAxK#*(|ga-4rJ?M#+YRGKwHWj|V5>mdeH61|Zn_d(*=U&i;<#Xm=$gNdI zE|k_-T=0|_CNX{t0HGddU+YUpqK(M@HrGxs#F1Uh9BR$Hlwvv-@m-m$d;Y4rseJ2< zzK{~gSX)hae}9>X6)1;zir%jjfc!08^k{d&Fyq!njGFN7`8cOFT_t|K0*s(MT?oK+ z=sp{5B~&bOSS!^#iMUv4I4Y|Md&cv}@`qO3-r9%deep9n0tDuzu2sBS3}OblL{!G) z@zcMU9Vc1+8kYLU@wQTBeckf2T|s)O##v^HZf+9)y6hGkMsgR0=S@WwPbtW@M#8(2qZa&mV%89&hda^j)Uart(PNRaZLgQ2U~ z?(Y>+@!5UU0YfjZ*_FFZx&2n z{r2MG2ETal=A)RU*!t@|O9?PA>`khd<_cxqH5#yPN&x1P49rCuym!mP_NUAHgmKOZ zxS)>smm+mAK@)8@c}u-wou|6f%%r!O8abEMC}Y5DZ)~mS=pdI9c3xv~Ir_*tbU8EP zFaznV!jIF-*8I*8@&q0vXT$e)rw^@p<67F5}6Z{wruonB&^+HwuDtfOq^W-2_1EBjQhq)dHbsKvWiCmE5*zBBJK7AE0dS<8V= ziUp5_$)`F%L zk$f){_Gpzw&mEJ_`2DEnsN5zHq?L>!JRp;7CAL(wuX}dqAhdfuhnyY#dSX`{(p~wE zZ>idi=Dsqm0NX|~e=k;zmj;KR$rsBo*=#9M{~lZKrO?C&!_&obTjLKO_OCYkp&?Yo9T|Jc*e}@VesF7B+_jlq5l|3GwW10fqO4Im;lt58z^} z!ic~vaeA9VP~Fvp6q-}~?D9u&GtP|o$()!KgS9TH`-52iT^erTLfN;YyBi;ZnJrj4 zkKz+On`eY%8OYw&PbR`GS8q)6Cs*8!_WhbKiLK6~n&y;e0pe06S&8UVB|447@nms< zE3F>+mnQtSNTu!$mDFyiAK9y}0hA;ZhoVUt7R!A}vgj^M2e;IxmOIV+Zz|MG{-fgE zJH^r`B+M&Sm2r92pN2DQuf~Nb_ttUnGwyR0x`mC1!gf2q2#+x%lA4tKHHm5_KMbGX z5CB?~*cdBZSEK$5{ea~@RF9c~D`S(*ZOG|$e>`Ryy-G8M^F=9CAqWcy;UU{<6|Et3Ds*fO7HiFlVOD`;WP^Wxv^#rd)F z5C&L*l;lQDJ_T#+T((>=jATkPUr>g!4&;PdNE+cqaj27vBMev?uT3~jgv5m;8LtqE z2MO=qW22E`^M%_DThuCWnvvTn{+Czazd2?Uo_%Yq*g$s|N5noFYC)rXF@?b&uu=^E zEtfwYy9^t;kG4C@Nexh*l-%EnJ%ID3Pw^7hP;GzuJ6(lRs&Z7jcGz!M-dIn}%)yKp z860bEgzF zwssqy2B0*bh}hfkWDf`FZ5e;5BbjD3G^kkS#xx?)apQO|4MGiT!bvnLvCBJKIVFlI zVU{XNa9O@SPXmiIm8|bXqeo%X9T~>iPMAx@?Ffb~2&S-?sHJMUDnsHsmrmb!yIE|CZpW=cWY7%hj_UC6?E-g7(x* zU56;2?#MdW^_CvIuu!uYZTVn!V?KjIMUwFI)jmZBQaR1_XO3!)#Mh=eA2NfZXWeNd z^W(8RfHOf`J$f2bpgqyxIzexhC?;v$6Z@x>d8`EJO-6!c#*+bC9y{fgtDVf_1h^~c zkXP+$`gw%V@uXxYZ%_f~=foYXV>O*#Ryqps-**NlAtiWao5BP&ukRLE8|3i?x=6C3 zMPgtE?b`*hA7VF+p9Y;y_oPT1ov57BZ}{y3p));B7yIvWw|K)F#^=IA8wY-rc;oK& zEbnr~zhO(QuwZ$&2V5qRLkJi>(W%` zYm4NjD!~t9Q7E*K40@ir#HhUI>&(-#R^O{PvuU$&A+M+HS2KxLXgK zug7F|-GD!d9%`cOmm7N&;v~aADvVlz%f4{It&r}^is#inzZb1%=d-ni#17!0_3NcA z7!UalyY00HKd!^kiPd&Z<(jefcF%1vIWqr|8gMkdt%Fp&^U5iw|4p`&OJL$9$59YqS>sQ) zvTXl#`tjyfWD&zH$bgUK4QQo0U9L9dwAo7^6T$_#O)Z%+HWN<=i^ID^SN7@)=pq}x zU*1j4t<>hS5-Qts|2mk%VMpP25K1y88Tmwd7q8-}O+MlhWeR;H`*{D!SiTagkc$!!N(8iDxpJEHZO_(Tg7PCUG z2#Qv$6tQsBZV@gqZ|GAl&9hkDpFN3P#_O(#rRn~H!|~OOPZ5+mrvimUGPh~MRVGeT zIAf16-ym8a_ATZvR)5clZGSRML!G+3hmlSedgoVzUs;3LOKF|v>Uf{!bXaV8fNCq6 zggHK#T7q5uX+y=oG2Tf)o5qV?Q(=0pW`&!IV`iE4_vcKM%A~cVHRVK&1}>Beswa!3 z$NI!+Zt#k+Q~?!DdSn9mCTz8nsS=kaHx%uw7u8=UmkxqrXIe@0SS|^fd$9d!^Plj!28PK1dxWzZsJ{@SSxsKSp z@!`}~+xNbe(|i2&lIlCvy1fH;PvS=To^?;Jg*;U|8~dozbEXv)@?N63bLkVb)OdIz z=BEExpTBiaFD^m2Y0^?DNo%oDgGcPui4&@4f3F%hw9X8(6pG%nPoYwe$54zqP`;+R z?nRe)5*Mc_n*e-^?dEY-AmqhcQ20k}TpwyJnR*c0zMo*&P;52Kv-ks-Z5RDJ^WG|r zX`~WE5QvDn)gNU{sbZ(_?@1z_*nnB>H({3?@Dg=1|+X|u=3QO55A?E`#i z%M1s9kn1wO00$U;zW?i?z+K^1I!}mHhg<_ph zQXhYa(0tRAA}WN?ua7*a@x)4->NE6yS|aT$v`!dxK-)jL&_7Rr{<*D&ySE`}e)c(- zk3BWU1u&D%=mX`m(V^H8JGNhWSk`rA&GGqzwzF7|5}@s1(3d6NC7{Wn6*XhcVM(8) z@n&^nrk9B$lr+VMKTlu}puXa!|N>DVT z-4-qlZJ8&>$VWGc`%;V%m1jd+gGA_LyqyQkM)lUg(irwNd}UEp{E6kSo^Z$_^ZA)3 zj8xYi|7Dp7!oiKG1E>e{&~-$aHCAm#OP7))XiB#u>iz9otI^r3>|BHi@R@+e zitQlvaRl0M{_aEhEe0v%OLjig+uB%mJjk=ZiIJRTmES95wRu^ zwM$@N21EFVOFrT!hO*GIL6%X2Nf2fc31|^l7(YgYeRXc?{==(xwOXjzVcBenrDaSl2Tu`Rd^L#@*1SgSs(tG5Kxu8_a`holcu(aoZ* z!xJgDd0BYU#bJb-*Qad_h~$!{Qu{JfRrsby7Wh^}Rui3Kb(9IuB1!{M(+cQos8bE| zF-kCGP13-F)0Z}Xl3-E>NQ)auv7E&Uqn89KRmu1o+L&+me48%|L`?+>I=5C6mXTdN za0_*`YQE8`UrPwtq9@voenTR5rK2p4oKYz!fGH%kx^Iv-F@gpaF47pnFym4>#)^;8 zxZizq5Tg5NokE`da$$xJB~N`QDEPg$ikaF>$K4(jAc3g%v(jg#XgQ;g7%r=vm+bYX zm~BMKGHI;Aq$y;LD#-?TCA!bL^G6%^_h5lp*KUT}VTyar-yJg_l06CzC6rP1rjzlr zJCXtLine}rQH-ACa&sq~syaiL=(1r*~g z{`TXvwo}UR$>CV!&yTF?mQ^gJn`f^y*0?!~W`%Zd!ZI{*J0Ae&5$pqlC+n&pTFm_f zwgAeTqJ@Jj`(u@&;1e_MRL8^aGP8yy2UBs4)zfCjiqX`I}nGm-}+f|xthDP5P^6h7>gJ5Un-@fap81pB_)p=U4Kkkk9Xlznb zXg9kw4xaj&KBE6-&qmA?tH;m^Pp6_P+No&7BP6B3C9_a%)xw}Fk}P_Ga7<#^B|DiA zI614dt^`kaQ=BxF7%`1Ix^_<~7oT7;x%nhg`lGl`sd^wCfvg_-AF?gmH zM_GaNB`Kz%b}gaNWj)rRMD!qCB6b)MXKB7Z2p`e#WVUyds9uA|%5xw7ThfHOUEA}E zkWlGi7_N0_%M&AyZxT-&eK}5<$56?1Fo>g#`7DMEv=~?5osdgS#?MB+Coi)CgLr1= zWMwe7@%T%Z>21srm|(WHo>uFbmEcnT;p!*C^EcH{U$eF;YFxH5D_MziOg{0>ML9J! zABFA*h~q7D8qD(dFFdm-V-1R;Dwd)*ib6fd%EmouuqiMAC$m6U{veQM9=z4$*Qy7Y z0#Cnc8$;&{mfrgFNbSi-w5Q+NHi{xxMjMG!**Vg@w1b{{pa_ji9L|8vYip z;P?E5GSkZSV8@ICU9Y=p+?LKCn9M?BnFFiB7bK?xC-yP{LN>T;&VKY$YDT0o6A|t* z$n3`|fY!m^Cwd)*X|x8X9iJoBPi9sy*8zRjP}z_qC*2% zr4g_57%T|8sG;oqPpz6q&evMsq1j^@d0uh$L}DjyM&|}8f7yO!TE#Ne67zqylMcU# zW@BZ(?i0zOK9$qg;S3#M9Aig$u2W12wiDgN0M^;xpJax#Pd$u$wy6Fx8eaY_yb2t- zL0znXwNTs%nyb^mrpf!BGVeH)mkD*ovr(>jKV=OI7NGxi84mvAY)Rpz&j`d={9Uqa zJ21gBhX19)Nrqj;961oqb>E>hx{OCE^wOUlliDFY7UlCx~lw1M2r;*~`O2!p^~5iN{YkgzZ4W$nrgp38bK+YrSa3OJ+EjprMzNY^MRg?6wZ9Yx)T{&^1-`^eG82Q z?2XRE3o&5&G+%ZyY-o^#4p>BTEzM4=+&oVZwrBRZ=3s}$Wesb7U~-MIE3(hR10o3s zpADlBtkYJ?3rVFo@*1oeC%Ts#=mkuoWDXmMwDv&NAuU`L)rN)nre z&e>8^VL)zTQMEp<^b2l@ML+wK9E5@&vg((7r@`Rs6_ZouR5wwuF0Ca{|E?YtEvU$j zJ@n?Q;2?zovaXmpOg@z#i||xz1loeaf;XVP7H&p#IYgQ$?xBwh3Ueba4Ky<7U-#Jf z`zc-e>Q7)R+R<@+9a!c*h=7X9C>%7z7yTrO<5aPMNf*?6*%iENQWztkld4@z7Re(U z=HoU*C3WSV$$YhTmbV-IYg}~_S{;#CJv!e-{TCS<1;m@*z|2*{>;wkl0E$>Ch;p-3 za75U5x3sywMw-a;M&Kb?C3MCd32E^~?qRK{UiZhfX+|N;(`1e2lq6_v#y417!BWx% z2T*JzqFH^53B@8uWo{K+3Py~KE$lZ?L;Ha=2rG>Msj|ktA>VT(vg<{FlGfcHz&9r+ z134ot0~wzTdfb$Oq#gKa1&En1g)KM3<=EZ+kuW>eM$A2lVT#xKtb3>n6qG(6M>%Md zIqxC1w`b9w9HH(Kn;=8*et=Tz{l?p4=hlYEFeiG5hfzi#O zH*wT`4#OsY;4Bv2JXNel@o=q}e197nFJ=W|lRY8~82b;P{G+tTuggQ8cB?i)p8lZ7v=SwR0)K{INaa3DY@&F=hJDlI*Eq1>z+EI>v8*Y9h%hB zAFpK2lUEe#?tLqRQmQl;{I_`N0Y88^S=6^4g!Ye{g`Q+nI7WvZCYrn&Cn^FRF;^Tz zQK$V*+0UMFgg~6JJr<hSX4Q`1kR7DTdC61C!Fo>V+yR7qLxQ85}bNJLzZ3Ftd zXVHx7vDgpB^!D9or)K#*ShnmVMP*fMuo6^@s=1g(E7NX8=B3qm^w9Mt%t=pxL!yZ< zkmVzga)dh}Q8(Ooo_BhV)qlcGVjxxVi{9HUs=@wGb>K%Jh$*qJ-!P7sPvIhj?9K#5 zN;b8ZB_m~*m_^ctBKPhS^^^}Df?J5f)AG+nQM&dKX@Tem-o4%NhMm^7Gc*^=W! zz|nLV`iA!cAwrZV$WM&~mlI9w%VMYB){5))mJUr55;CUDQzlEF`X!Hkx4|c{faj}H z0iN_VnNef(aSA!vs^>zDH4fk1L0yUjFtYp!=g3MjzZzjJ@NaeBNqW9$&zCr7JWV5( z6R%L${RE-Ue4}SI*-ci#gI!|qFL1irFU*!an0H$-HrAgTn*71fu}qgft;9Zhpra~x zv@J6V)kqVx$0}Be1EpN$edwJnobSx(2Us1_gJh40y(qv6V-jqHOoHKq^Z(YeR`wu# zmv)Z{BseHIQ2#g3)TEBG^K%E};V-1ISQt{lLX(!tZ_Q@1(U@I4$>JOnFX)UDp3E~Y zHWM>d<4(jn5K!YoHZi|XTl61!`@Q!b%d25?7A zDemNcl{7@{c5tNCp7@I$MqU!l%o+(Vv(AOfkQQxqQSH!=rfQ9zmmfM9(i^EhSi&SIf36@`NlZt* zg+;4)ux^fahbN}1o&zDq3Gp{;5Rf|E$$9J~C*ye7U~x-VTGc+nruds$C$!HZB>N<>zA zal#r+I~3`b$mVVj7BNi!L<}>z4&UcD<2~y&GY!>v8^K?5)Y}oYdiZXr2!MYi)>Lw; zQ+!jK>dnRC_qcoBeLS>48Q=MTr94!^RM!$145PweXN~Q-*&Q&}yL}yHmx#x@nFF7c zhKKgnR1wT7YCr7nOtvWdEint3drckt4W@NhP;c856_YpaFVYm7BNLXi- zG8W0X$IGU!p|VQkKtbwjY73%t9((J!=b4aQ+VIzsn#(u}%V?@$S8wNoX?onGi^EOh zBL>eewY&}dLekHW3I$Cm0pV5xlJ62vUyExfUZ)N1XV89qb4e|etu=%Hg7IKu0f9CY z9>{TJ>xq%u8bbO>;Et$*eSNxkk@{!U1RQO+-CA%{{|9RZ{zsjgq9O6{l6LXK1)xR+ zGrGLp7~J%BS~TkPp3l0&0kda*FKsNhp|evx0S`w;2Z*Bu*P#Xbx@+%Gq2X^0sIa`g zYgKf7yBq@71=Li5(BuL=KV4A9O(1!2xG8XniL?tKHvQqy?_FsT2;cUG5r$bi@gxR} za^Bq10YA9tR`$z}%Yx8H*^9S@IBmAj_=Ak+8{qGw>p=!?LPlF5?u+sQwU@`Sw{@## zGN|Hx;J+Yr_=ud*g@ChZex>pINNF;A$4fv+=2Jq}zTS&3;YPAIZ%Y&P+uK7XDWDmA zNjRnleCtj@5<;bn)3Y6%%@ZK>zDr`&O_q7R->4D&gsHnLlle86^J(v8R+Q=2V(_)* z@l+C#HuB{1a2ey$yg%->jhf^CA+ISOgs(h8d(blY`=}QO;#PD0qmI zH@U$C0Ss}xgoPEo_V3%tnD18SPzlT(nSI8(0TeKzZ|Z*(PUE?pC>xI;-tQ`b51yvO zg?vnW502gGqp5P9Ob;-+Q{%Vphflotx8Au^9Fsx8s# zyGl5nQ?NTzuIs$VU+*}s4y!?8c+b>>H15*?^9!CD;+02ZLVo&}LgS7t<4PyG7e7>o z^uF@jF_vnbc#Ns&*~jTb*e`eAf$@FR3#Rsv9x?xax%?S+^^j7AT=ukp z<@v>j{s#ux(X3-~X~^|mjjY_Z1npY(aF}y?>xuZP^U)U+e+IEh*58`&V=-2N`k}SS z(l!r(rk(pMS-ggG;^)beP7%_5wXZ08f5^P@0u%-BU->d&g7G0+R)d~uUqmM%<+2h!p48)4u?OFeE>QDI?2=CT096m-SHF@$R5x=V{0UKC6xq+|BoOBgMWv|N&_UiL~C);Z;%<{Dk zv!cH$xGBo(V6%qm7E@cLvg%zC(fT76V3uvHV#WY}X$A8y(d@{xW~s!w-)qbD*;#Y1 zq>wpVBj|OH6My1{&-jH{7akB++#X=yRT(2A=Biig7G0VbCWQM23N)7Z(BH&S{tFqx zHn4_X;s{jVK&db+wqIorY@kzQN@L%uopvs3K)3mGxFYtH<(!SjEPQ@Pk9Q(Z-rtZZ zg;)+W6+|dN=pb!0j4LNfO^KI$9TD-M{QdZ1F%^^Gc=yo5OAXD(9Cq28Quh06xxYA@ zLv>iYNjPB(JZsFKV}^`2&EF+U;-ZL*!To=CQ@A|3in0BbRhb>RDFEoKS zn*<_^P50f7xkJ{|w|jH{t@+A9tS|1R5&__QKuDVRh&%v_+J`*CKFA6Xl-;Upsqi0Qi~O`Q}P z-&!-|=1#K+r$AZKlZt%OYht%T7)X1g+V6~=ZtEflc34XAP^g|)uj@%s>rDQmM7Sy&nwwGNz*uYXGB?{kXBT?X`B8AeJ~^l@-J$0;Gnkk9lUS3ve0AC}DqgnYqB58i9R?%n}h+_$&leQx{ zC&_e=Q%7o3nho@D6&nHG8BX{i-lF>C`Fb$`%ClSAo8YaX<{`^-VnyE5@_7T;`_$!Y zZpg4){vGeG;BD>`bi!AmXAU9(tuXM}!wYVSY3YxDCyhYja{#yxpGiCCxocr(XxTWCY{wm;Aqhar=% zw|dC%_a%Nyww9GEeTf=Mf@$H15&_ls$Tf_-MduY#iog$vr%f@mLSMFGHh}bD_SZ`& z`noxKlpcVmw#gtRsD!IE%qmlrKOOfzIT0;}nMPUE71%99Hu4ZKPFCYNepMW^#P=`G zc~4MJt(e1{i|#q6a=fQY+6f$R^ega z1rt-hSNfNyzEzfRPrTbUyjAD9g!Hf!<6{=)If#7yMC8GgQ)i>7B_#LU#TH2G{nwNf zSN>+PDK>$%-bq;BH>pUfEWZY-D6&;lx&WV${hFnUq6)Gyo6TG0=lv+Md3oy_gL#QP zj2Z1{9&{^LiEUy5D@7Tre>8f#lug#}af|M0+Y)WL5nTq%dHzyY<4Q z+aZ^w!;vZFO@>aI+hL3iZFg5E~fdISV#9&V*G-r zvu{!UMav;zB%`UoGBOY8@)0nPY$rBEr-ix*XO#|Wtf45UN;S3wU(X7d-*WR&s>-qW z9sZ}n$}5jANvWtYs5IzG$K)A{zHLAWY=qR&=(BVnl4q+obO8@P%+d$)7LfKaG)hPx z7dqOG8my46#`o{RPZ(H1UP?sO3_h@fZp1+c1FcSrUypDOrpta&^Ptm%E=2eu?%*UX zOgJQD`kQX3%iKZ*8$X7KhU=$#q%8$kl@dO^Y_1{6ZQvD0N78|*mI==e zT`UmY3t~la!uBGGw3M-h!_~z041eyIhVB+rt4o% z{QrlkZ;TEk*t(4~u|1jCwl%SBPiz|<8xz~Mt%+@WVq;?G%f0V=>%CuHbxw7k>RzjR z^{G>P?}m}v(+p!oM=NqmS4}lzR@ams^^$xnW6dNo)taTZJwY)vN0Z2(#T2Ur!h+HIVLV;SSRPb8Lke9QDt=(VMO3$-hU&ej57R$jAJVSeH zE$Kc8bn67)W&+-C&nVEkz;IyhPtAW25KU#ZPsfB$l^^qj*ydbNi|}LY@KKp4Dpq(= zf=uo{tlywkEg;2(FUWBdz@V=EEoY|>*`NIMzjqNJiuqa=r1x!+<6#ukic)F|5syRv zfPiMvMbKkqu)r*BeHUWzS)Bq0 z32uhpoF9qDTJ`;jyIfL08GGd z;_vIRAwqR(*vmyFfpvC-rd;LIbrAn;up$CPEq{XYBUbI_yjH7Y?Nv4?)lwBctkmML z+g=se^rAL0(O-Xp4bkldU#hSXMG@9FB0~6}*>R# z3=?Jy1^(MEYooT!RdE>NY0hehxv&m&s;;r!B*Y%j&RgSx0w~92z?+#;^AKf>tW7T7 z91cWh6*=)u)khcXR7FBKA$`VIBiaX_43J}^leGy;FM>1)Eqe_MY=cpt)EFB#(xTVZ za1b3qp*^JGexV@NCzyMv^oz9FmkG|~&C{WM^Hi=_pB>Io`G*bRud~YTu*50)XG$c$ zJ4u$PERE?K7|;f{E~2Sxt*pTOV%Dg&5plZouV4#_+vurIo+huJ||zI9v?naGa* z;;kb%fZZ)nC@4I8SW7msCbieVcr2qWiPH)+L@1Moi{-c#9-_Q&jSKc>Nu(dSe>&|C za-9(z3LxqsjWTFRA_0j-5P`7rg9wX#$!7Joe^)$($;z6DkA7k#zRxn~Ko z5vKhkwHTBB{EAZdq2~q&lBb%xdABg3{|s{p!|X)M;k_<}qe?KLo0I0qQ(CeOGJIh4 zXU;zc|*X;EBe+ zPav+TE;}RC1S}dCv_|cSlCkw$Aq#aiNQ$cdx%pcc%-o2Eze;&LqFrCL3-VyZ+;)csM;y5`Y=3$HGucyFBb6%B-(Ul-?`EB1bFM1BHtYB_*0>&ia zU*^{9DsCDs+aE{B`X&8XIBY)Y0g&}$#e6nUJdt%3CmXbu_rPT15_hhQ2~!QUY9Sr| zV06tCdRtIzpnq;Sm8)U)t&Z(`^8`{pWNPq8vR|Sc61d{f>Y8D0lN^S6+(lB7Di|{?oVoPQRyMRn1qiw;flg+MLx43Fr_h!Z|J0$DICnYYJ_WZm3PFcr*rg3K zoT)6)oHUECTLRd_B{@bg@vPOkeGoi(*Utp1%8;8BFgs9cK(#5z zU)4D}ALgF?5j|RUcdiA-#wc*xfpKyggr?~-{>;C;VZsKgy!RB&ni_rsRxd*IUoY$S<9(>Z+^3MC* zkF_3$m(h}bP8bSX=I{`Nw$R)-VJi#q<_uWaBW3ImH@i*A?~bP*4*)%~2kO+@XhRh5 zO(>rC=TS{4!!)Mee*7a9WJSSX($JGS8Zr))Dh4(h4Y%wFYZTFnJE=`nbG1IZ3+yC4 z7d%*mh<4!X`L3nY>lq_FZwu}@t|{tbz=qww)&@xx(S6@|h06T{$bH@H0h`I0Hn%;| zA0uu1t0e;vu+>|WcEAm-YzY}IX*1ukS~DLdY$a{KGgNAVR^;Jl@*~3HEJh#GO*fr3 zyR&i1HctfKl)FxoC!kDSrp3QH^3skEbP~jjjuxQ!J$9L zhmo4nQ7}X2{zJGs7745OvI)=aUu>@1EgS)tBqw$zXI~isPGy^J*hAmC%zC3)+v}^zPiXEod2%8iGQWMIDirZ zawSJW+7t49uLlvA$)Vi0-o(X`r|eTY)%Md=Uc_*Q(HoRynfx)J(n zaWTs0a>5oGo_YFyu`qCx2yR2{VEu4FIBWLMgOm|3a-C^qPO)+9aI|2*z^&B5_z=>q zE8nVBOu5|5vk-v2N*#{XS!Y^pBY*rjuYXsH3fJ;wPk)`!`FFMv8yoKEo8u@3Jc#<^ zTdqcul}AWtBuj&Fq)1s&T70qdo@~V(J~76SeEv7(G87?C&pntno7U^fcold*cqR0G zc6)x+e5-#a`YTPkL>%WbZ|tobU8SRt#o$BeP}6obz1E;qiKgYkd}}5ek^~Kgr2|pk zP3BKi8}Z``;%j|y>E~)EOd*h;Ku?2<>%B5=iAIQpYHsNtEdRZ8i{xyEkmMD9HnHb@{$`O z?sekzr5GEAq{A9bfGZbVnZ_MLRrp~GUP-9v6X9{nKkO35$kDl(1H$pJKPJ$BoR00qSE!r;u_33B zHqq?)<&bLH+uu9z)|3U)oilTs&zXOz6K1*USX|i;=<1y|HydGG=@TO+d;6*MK(fnB z;ceJ8<1@f&E-f}7+y^5zxjb8ydeQkv%=oM#E7c$41>7AJXwlQY=IqIog-c;%Exko- zwA@d{Bf#b&?yw0|aoQk)U+V(GECMlS?dQ$SqtswEE=l2MKdeYi=oktUSKCh%#(icTx4U{Xg z)0)!pNcWX&AWI9ISFKvC4a{&{LO{$h_SaToqU3TceSLbtX3l@DhIaz zN|@P) zBdUrE8TFT4GQ);LYQ6RiWv&+{#@gaj#pE1^HFt#*j9L%=#taE{(t`NdjhAG(d+3KM z)(iUmtr3~KOno`r0EJ66XX<=Cl!!hA>{J0RJGboV$ z60rMC-Mr@gyN1K$TMiX-Zd|=D-}zcXBPPu6HL?-Tj8k}?tgM$`)C0)#T!l#%2FW0{ z+-V)=f-@6xqeyxpl}MSAzOFZl4r{P-$*E41`19w@hX}^Vq_e)zkqX4TI~rq&hBzR- z87ueJ2&X^>Q%BXaF(1hrys)Q>DjLe{u-5HTF7wR!7N<;QNpzK8UY}pq33yC3;U2E! zodIQ;q011PGeQYeAxcWGwP|Bc9^}S==@QgEuFFy5@d~9XbB6H0w7_N=o-VO=ro!hE z7b8=mFH+I%O8#036VJyVzo|h}z1dWomRUY0plSf#;kR|=jPmcd*Ou3!m1dtO2x-2u ziMTT^^ox<{kx8t0(Fn9GnX{!_y6xVF&!-!PXr9m!Y-gTFS`MsrPj7;4S)L_mp$Z|a zW@uwjW6gwMSRLBVFZb~v^glP?2yH#q*-z~32p7!5S1x}hKyBo@L}slhLPWmnwuNN z*ql6!1vZ3iE36$5cwQvQ>{fy<^Ma%mV-kRd*t(#TWjglSbt?kS1{;s6oIA;s;iMQ7 zsm-ROHY_s-cY#f9(+vKW(r--Pud!CW=YULq!8?Nj?l<&!T&0I}%g5xTxuv2rFq^>Y z`KdiPE}JkHZgbR-GFP6&@8_=NxWbCv<(t}F5gVr#s4srCE{8C4 zQ>{;pkB3Tttkzbp#g(a<5>XWRbb4TQ`V=~s=+bXo>C+DEk$QF>r+q)xI^J1-THr^+ zyvHP~qcbC{6CueeM5u{uP=2gz|5#$5KWRd+0<0`FPK4AUfngK}y2pM?KnTE(;qFa+ z-MR`ETYui(1Qsjx*&fq$)b%^Y$Bi8+1>&jbE@y@&s(GE?<>=CS1~xtsAwTm1f?!-+ zBH7NC`dirA+zDMGQ$lV`8o&|inEXjK8{(_tNb5#GPEE( zq1PDXnPWE{vs81hxx#{#q6ONdv zv#sOf;yhkgXRRtIe(vu-WHx*=k#upD9GM}uIPgc`?!+`y|K=T<1!(3@v~iAFx-ALTIeRd=-_?emtAOi^`RW(C=^G=w zqj_)sY6t$wqdnZC7XBRhj#DFCGrqP9hnQRKv}rq0*t6{s&a<+lSf|V(4raOR@6_kz z=SGP@(0=lHO;i1#3N-V;_Yq8G)w4F^lNXKzIyC{kfN9J(&<0S;nd&_Biyr zU@~EeXihiZmLlI)vgC2w=ZU46=wh&0)}gZ_zont}kLY~ttl|k5X761bx72yD)w7o6A^A;P!~f=*-auX)$a$TS-z)HUq4`%M zhPiB;e9K}oT7Oe=;}=>(v>tv{qAhCVU~}=c<2wQ%b7d{V%de zVAGKe)dwS~4whFf<;l#MBchr(qMr2CrOsTFjWOhJ7jxkJkEb6}xebY+tx#de^+L4o zC)Z6UW@>ET;JY?+xB5r)oq&j9<_4W_s>i>@zUYk(0@H*u5sge_oMzJXQOh)m;Qdz* zPWgRcI(F{ya&wpLJ+!f>=TkRlxVH=&*d0D0IRU;MNKdiQ!%mkf-zk;99r4)lSNSf# z=U^83ThqwI3Xp1x&G_y>&Q68#TBQlLp0c#)TgARHXT5Cc`jRU>O%f7 zTw3ZiNg?oxBa-Ma7JQ!}4ZGc>Q^l^%$2TI6^R1Wv z{KfPH$9wx{_eFiRsL)){u0GFz=1-JWb2#JiYJ=^M&GlFu_j*ubR!i9fo?ip@xF+4A z4RmXe!9VLrrqZq(Dal#&$jaIl1f&Ae5*>c(dXT~h1u7gA?XO8R@Agk5H&oP*RLcOE z{A{ay+BaTN27!b0Fq)Ie6YbGJ6rTb9!N2?mT7Rj?AF0spSSt5%>0cHS5W9KS4g};=7L~N#Y6@0O zteD9E6recR-~h#uMc-;`;KIH|^`Hh6&x)kwUv}R0uVAGt>zmK4e0^Lp`Jo}8P;Nd5 zCQ8%$&fZLD-$^l=4pX)r+~)S%^Uvkn1j5U9)c-mY&8TqjUS=)gjeb0A>K7Jh$JVms z?L~^qKBtM3(&TyaCy5i3>%X_=p1-YLvV zp1nxHnm&l&?walAPuIkHvhRTX=ae@6X4<2$A-c;UKM_aPCaKrQVn;!uQ~XhH179D+ zGvlorRbW@8u}1eqvf9lL)hE8I{-=Q)_uC?l$t@*--s2oF6PDb*mv>yvG59r}Abm+k zd$Rv)3>4rgrF}0qUNJH1%0=+;GXd2r5{aW`TxAKz`MI?~p|*$j^8<*Okz&oy$S_+p z^QRWu|3`c%sqFr;cmkatUpIdG2#`)cmRr)i)=NDJg*z^^#>2=Cvw~W<B$ydEGR!`NOE6F^$S3JO<8G=QiNl%){nr@ zYAAZKj5qy~54E=5?Xkd?OB3r*j;Ju4-KK4b`fM)r^uj-Ke=0EztA~=b4U6S;LK?{Z zoVxb+#T>TKr)(CJQ+kOOaquQSz=|w_%zEVaD%2DEpi;T3N~w(BEUz0OHH`ewn8r0iqDlB6o7FA- zSbR=&x`++<^Knb8h(4rRM{-pXI~nLkL~&)RxR%1IxrVj>5;KTFL~Wk_KIwtIzJu5? zg0GQ6d4Q-YCmLG*OH{mTRr#b|*8VF5&lvvl*MO3*0rK>Fh-?Y?+-j~1c$bOv>kw|v zziSINm{t+pC>{Oz7RqBAnCD=<%+s#Tr3@H0feeDY6@I{Zw$=yALM~z*H4uF>ulzTU z3LEqD?1p7mxKQ&ck}P&%V2G~aZ_n<%WR1dO4%Ohd;_|dnWIQ@y*FO$xqWuq=g(OKX z@NynqT0ca8V9QdqWL9@mbD24}%4ipuCt2Oz5hg+2C7_8=uL>mU{uB8Y+5exfNTO*uC>TD&VFAof8ETIgj;)__sLI$4VT(iO3$lge? z+j;z*Z||<{p)(~Db#`1v>2xziMpbQA{P>aLlEWemBr1@II}ua8Mbg8tG2@a60}aqi zkciV6iDgCL{k~1r&||kA2Y#y|;~Ek1MXeOm>W4rY}p-86SqT++(jW zsba*=tjGYjdl)A?B!0aQ2#VzJFF#fkCQicd1ZlZQ^+<%BVrSlhUy;5e$7oB;Tr5E& z>uFo_6UvHIf`^6Si7d=6fTO5ls!!oM%`JsMSUp8@tH1co2^-;SnOvBh0ui1VF9syl z9qdYVdcL+Lc^Swwg=(jGk~0_0cG`%z82GXatwk|l_E@E`^;=Rt<7my6qI7HII=ILe z?4lL7G`FdB+%5I9tS$E*gTEJ*0AjMpVb@9H#frUqqKX4=4Jk}{|LVBKR@9fc#rVIv z-PZI0r6id6-O09_ceS5Yu z5d>dBMKIF;b{YxCMxI2%aqsBIGx;Ilxi>sJ2* zD6(kzzDt|=OYYB%Dhi{P$P0Z+0cnK+4!D__RoHvh(8Y!2Pm6+Z1ZWKvY3cY`)smsD zXsP7QV$-IfZMZXLjX0~ucHdy^*Z)>AXy3fA9nhitIgYUj!h1C^hQn(nH}sXE?c(Wut)Q`m&k2Y>J{Zp^0jwr*HtjZT4N9L2J&8`nBAo zNfQgbr*L7H_-$9zAMp93<7%()%;VbowNT@;++awb({<|e8cLa2O)hCM3HNEs<$#ra z2TGZgYOPO9@NZ4mQ@qjgAT;uY?&`Qb-F?{hJQ<+AFlbzR4;=|yld0@JNCZ*>;>QCU zjT48*DXHeY1_^oiEj#Xz%asOcuw!Puo4NOPw3)BXYP4!R@Op=MayHy_+{RA(``P6% z=U?r&7y)Qr%2zs1PTs32qDDZ9Z)E%P`D|xA^7VP29roypN4`6I1NEK;4K!;p8om9T z1tph==59`hQ#|nWj+G6O1P~d0vD2LYc2MTrb-YWTm~PV`gC{B&aC(y)@#%)JvS%!K zFR2tu!3XAW{gGZhV&e25$?ws7N;69whTSa(E2<-ATnPkyH0E{MD~3V|@Cv_uPfUNf z=WTO2B0QG=ney8=ZNT(W3sP#Ij!z4O69i|5dS;~B<$SPO-ft8(8*o57!!4XRTdYT$ zak4vMK!X$7QpW}FX`>BkldnlKSzW?arkOh{$+_VXbX|E3X%RODd3CdTjzq(Hj0n1A zk-fs0=!9T9Hb@~|LN=rUJfvNx&b-1!XOriU2p;i_s>mMlu0k(y)r`(Ll;>7LydlV| ztFP9ZS7C|vP&}JD0r?-c?51b?&aJFOEk@dstH{Le5G=~7&V%uk-sv(6+}f5Aut}sY ze|_wI4630hBz-u@?d8kN&4h&8F&a}?$~MhuZFlsjUhU2)mUZ z0#cmbCy5}^bGq^;MF_uL4Y$mJ8Am!(w(0xyks0n!drPPi0+Cmb+&%DJ>Yv7H)bP1iUZ)Ez8kPqyej z7}0G_SWrKh2DCY)z z4qpguqCnY!{Ip8aKUnA9`geP<`c>xpY$+Vs%BB)J<$mkXo%(otsdsd8v^%Q$b{ph$ zpS0%lPMVpp_%lo@k*5CT4X=mj%tyA)lL-bZP2&An4>0@L=-JpwFA`S_pD3I!CyLK2 ztfkC14i#6{C}L>I->B);fWkfWg|8v{CKu1V8z+#%Hx7G!`Ty}a{`|t$6sF&xNI#J) z{T<4wB7TW#9B6!G>&_5;E!w8SitW2s8~A<@$<-5pbq^tks$lmIY> zQ(OlPtSWhq*!k|uDl5PuN%=w{^1zrGr{pM7aH0hG*{31;A0{Y;*$a5tuaLm=9pd=Z-rn9jR{UbJB zzQ=Uoof=?Qtd=WwJn@8!Sw&X~F#7N`2U(?r4^@;mx^aZg{qa7~e;&sf0yOMVCIa`J zF2t?H7P~+9C^}DCW*>RpZ`M6h4Uoew(%+@Hp^OCty8ao}-!*J2bv^kUEH{8KY_ElZ zkJtUSNi9DsZIAp->_7$(d!VAoKJT%Lf(Q1NzfVI&CAV0S`+ny|KOuKSkZqvrSECd4 zf`2^RmL2ZxIeUsQEo(M92GVUw=I#GA+?W{?e#sJlRFtXrW^|!1pLX%)2{cIAV z4*}Uu{mcdpphyH0%MiK<_~_Qa9_{Sb>Sg2d2NGjfdug_mv`A{Tngh0iQo~lhPv%@N z>wkW@u^*?b#AZLIxF4T?Tep-35Py-|B6)Y|{Q@aaY_Wxk%Cx) zWR?pYOp1MuYP?dnldImXf8{WpZ+T|#*AS5PQo@Ky+E>I2nn(q>U2*81W4Qc<0P~jb z8noO}$n`_h8Ux39CocD!{XVfMIn`I27xyRsPy5C2-|})qRX-qb(!XTX;)}4zDg?W3 zha1WcguNUu=ymuC5AauMvRk`07DsO4K|b8*)Ls<+;Oyw-(xesbhS(WE)RdT&F{WwW z>n{1uk5NK-#=67Z@M~?BEz72OwXrsh^W&c!dxD-yfJ`8xbsM3}n8n=?yYl0F@$A@I za8j^kia1SVIXzKi)pnx`&D!4|?HE@FbO#aat_#&-7v*)50sxN^{gOkq)@HU=lfQow zObm~KVYHG#B3J=>>++DQ?)uKU+Um+|<8BOEnp%4WcIrJ70d=#5&3e5h*E1EKl%b77 z{;IN-bk*>dFL_xo2O68hYIgg{7~)k-Vk&5z&D#_5 zL-m=E1{$|Y>h6KzV$H~5&QQk|jAO|*oZDE)w;m}5RhgmY z4zrK~Qx9wg~TgQg5oN69Nd1}*@i3(dpcQB}U@NvhjGlD3` z1&L>82hdOJ;lqTQm7G#NX8XdTTEj)?2du(w#Y5s1g9gpHWd)5_GrZi_kK@~;-@V1U zO!?)Lp-l-K`a7GQal#~r{zdfvCVcSh8MFKm*g%luM6#g|wy3_NBa?}@OPTfwA->B$ zI)=Vccb#BW9}l@V^$T=)vsB}l^mo6YF_BwV4$$rMEECltZ^meJ`SphMBU!|kb2<>i zkbJ7vzWEUiwCY2R(>N51Ue8Wrw_1Wl=sgez7=g|h5afv$=eitU-Cj#Q4FH9KPdF|b z(!pr#JN>_|3R1TSShlCP5c@fmyy)$nuBnJ*xM5=OkH4$oEj%Iov^*VPTMf)PlgYspih06 zyvfky4X;hP-jcin#)5dm_n0j$%A(>5Q=DPUvi=(BVthx~;ElRn^AY4E97l~s0cqb5 zYtl{Z+O-thu!wn$m|Dw`bwR<660nsYIA_3WFF-ESb z4cOFqrCTxBmcUOVC21OVf4812BiFpe=Z_EZ3A1@CvOpHN4NflZVL&_hdY#jd|AEn` zdVf5dPk_&;Ct5*`gZ6OUJaz6^l)}OfK~hu?bO4M!ku8NpB{TKVNHih8pv;sK$gOo_ zSis#Di#k$L90zfwVZ8!d5xJ6e&VxWrx~R8S!!Q`LT;dKVv|1+)Q~zBggp7zwy+2Y? zVuYDv&Ys1|`bCHT+eCLMeg*Lib)>bg&K-x=R!rS%sV_O95Kj%0O(b{>1hGwabCsVa z0#mDvC~WL!GG3Cg;mG8Jog(M}fNFrV`e%1x(!Jgv8Wu#j+Am~@=&@NAvR=b<6r*^s z{L@d>8$t1ePcU{vtD!|{>vGt|`&78zan%!6Uka`beK}k<$o>AJF}WksGomI<1pCw- z?oZDAFSh_NH#CvYtcyKMPH8zwVZT&Wbf8BX;cloPXJ`QzyQ@NY=Y&s_5O->7#J0-;PMDB1Wi&B$n+iGk@}dn ztK(;2FDtg#YoCu8n!wn-DNToVzMm$0ciro}K|W3@l!6`eB4ra`Iztou&2m2B!G#`@ zh6F-o`&|B;^yE@N(HLDYQ5oT^vCX%_A91ZU=Ul5P3*>!$ss($sQB$rGYL$?)tJkBf zp1EPFq)GN@+R*+h4#;Ub=PSVPB376eXU?v@RH_w2n+Q8fNtp)02Z2xNb=deuypL)HFI2scZ47MMk z9V)}$dO6xl=qVgO8>HFiAJq*DrSV;(qr^dQCGGKf5wRZdA#D$kB-}mkVd&E&DC5s@ zEFYr&Ifl^0h>n@`PDCYhVwX_e>U?B0A!4^Ah}^>AXCPYF5H ziC&;n4l?BfCP#WHLu^&MSZ~&s>aW0x@4@CV`!TDH)+U%X&+V9gY5ASJfylY3#9e;N z2ajh8Kdx=|&c7}iMQmU_mZi$-r*%e@#iGLJ8Mqh4p7Mz;y1#;5SF^Z|q@6}z-#_Oc zY$oS#-^OWPPHoa$JE*p|IZ6W^L2+P@TSU9YL^b3Cn64PhuL>Josi8BYN(<`sxMz9) z>I??4&6qa{*64yxPA5LyY(X}xWynd!6oyg0*)400h;XjuiIJ? zFogW#bB8ktV7$pYDCj5|V!B9*bRkS;(Viog`MK}fI?+9Oj*n*ScUTVS^ibH*U5n1J zIC=a$5acK-v5PIX$*Z&@Js(hsI!S!MFUm4|sT{3bxd~qFMv`|$Tz#=yx`A?%K~;SZ zwkYw_m9vAZzbi78Ud2oNI8lP>0yEXsn6b;FaWy5xq9u`lxI~0pU_!|koB76w12I>- zuc=348~s?er%-k?6pm8NmJC5GCaJt-Ur8U8c>NfAAmMG zjIKy*qCVfmT8aC{>WTY{Zen<5LHmY>zem&m<=)N6No4}bWt z2+SrXd}F~`)HVhl?OUNj2+YFyp`bQS%U;(wli{K~2{&=Uw=ql`KQ88TSZ2~Gsm%2{ z;G2{2v2Gkna3mPszEiQMW?wE_sI_u=GGrB3wMb&$q12_kA!@dVx|e+Ztw9@iht$gi za|+~0EjFHnq-$Y<2dnkxH*LsKT~5$??8ZVwE_LadElod=cM1 zg08%*!hxkX=$wO}Ra4!?e@h#~X|0M5mS<6BH3`~I%xp24oJ-RVSFuRHx%+dcV)Zw} zSsy4F=a6RaGkDf2mJ|F`4!#gdC;$9Jv|PVXKHoBk<*sD9pro^Q!iKy5$3A2*U>WB- zMM3S@ry(dOkqM$Iofrgg)zoy>oZPwZ5jap@5gR$;>K#NpoUJN!0h+A)&f5w(g$*cpiUVQuU{+z z*2~*>bcP@0K;@v%`ch{gA@Ld(&4Qt=9O(SuA^` zx5w1bI4F0a6_8fwx^p~v){s&Ic-)R#Trl5t|M_Mb$QH|h%hDT#`}z#5-yo9cInTB_ z;yi!k`0j1pGyi2wPGQ?CRo0<#-$@|Qechsk?H9dmtH8Kzd2>A5#EQNm@CG2?w0pDE z>@x)36_kXlbm_mT>pbih4uw7=F(pqt7iAjOjPYBx3|Ai|wZ!nQhnjK8+@i!&S_U>P z@phJi{zRR6{}vI+4yg^WTfNQXv#9tY`2zeKORD{K*kyipG*TF&aka``YVLg$BB zjjp9XO7qQwKOYKer`f}#y5c<_*lYv$*xi>!$666$*8lqKt-f|5;8JcvRndj|Z||~~ zss|949hvO$b6y6z=@v)5{%u9t;`m~Cc+CZk5#XzmhRLa!*X*7Z)b6SUBYE<s=hy9HVN0ObALu~GDX_-}WPv;#+;RZd`iP)SjBem2OW2(wJIwq~WU zUA;l_d4}fr!G52i@7T#d>s&hmV;p%5gL9^~E|X0{u^N9*gm={dCaeB-)O4Gfs2oY5 z!5u6KX|9hDnR%_`Nob4H>k6jX_*htvKF^rx6EY7Zail0*EyHO3(uG8epr`$=jC{NKIqH^_nQq`{hZ%fjN z`ml1fVC%+`K^Ah-p-6F9{8;#g4oZip$N_iI;I@DQmQ0+|F;20LAs!9hJ>$ER3=`?_ z?^J+V=Ma$wD1}qT2{RaDCTx#M%tyvy`z`FtpiwR{Sl6`U4og(=mnw=ayuK{M%2L~; zeEwc^4Vr0qWqmtlx~4V&?Fa|8oHAA$%xaY=0Cdcz9WgjK?wZ@!dhhybeS@XxaUdgs zH6$pm&wlr_?qP5LIa+{>XHPc%K=9AfFdaY@;{xH%KTIXDZH|Z7=b16OhNc&+Tr+_i zz$!)w8u-~dd3Q-2(r5p#k0eW2@W2MqN)fvOsaQ2GPaaXqacxNrBGMf#>pQ;i{Ths9 zqm4`A??M9`4e69jU)#j!N(Qh>K9kJuM~GB;&G_ao8Rq5ZteChGEQc7x8>WV785}_8 z&zlW0hCw}boV7VdFwIp&Ezzq(rSj{cv2k<(f@Bd! zW4*CO(vmFX>uhpSGK-QGvL(im5StxDik8H5X|Rl@WgAHodm(0pSD50=7eO!HIbs-V z#3JP=4s9P!=jHY~(f20lk-vpWeSAQJB_gIWF5X$?8khAhr*RLtE#91gi0P57S0j5K zVnMu|4ZONrl9(yW&vGShxKVpXDSU4FGHwt8Sy@==$$0P#gEAVVqaP1yxtcz%J}luG ziEqRZU!uTktXyjZmeo%02LT6k5YPNV?gSHqIF1c2wQ~D&fC>?f@ zCQrsFn#?sPWC3enTw2tx=zt^s ze`t%Z#T`F&hvN@Pm0&cWm(hSYzDY+9XR-QOkf=oE%-cf4DORmnp#g>?hW8N?zP))y z8sS#n^$#vgrOCz-H zjS^X-oBa<+CJ!44^9FU<(Bnw4*K1G60)19@=ntOQj=pc_ul=Vhhfcl^M->zw;&#~w z7rJWvC7)uWC93G&wDFCUqsHzdY`%!JkXxy@Pmb-p6lbk3^#Y!@kD`?r6lQ=2xxMszFp`*dX3$}6a;^rH{ z_kR{Q1mMDQV=XwX#=mn@%TokLNTKlt`8eLSPxc2wd3u0_iNb)Ff}n(1fB-BSS(4$+ z#SF9j@7@igdtfq$2I&+D{4)N7Gs974nQGayA;%6*Yuiub znz!jsfH(ZjuY$6`GN!qOxCxDuhvTRcWx@3CbQ;>vlf!^UM*3@NQLq!et;b=_;f~o1 zH4$Y-QHIK;Oj9bs^1l;LQRDQdWaWt*2BX}@&qK6qm0~L;m6au%{bF>AXK7v72Pxiq0!}A;7}^1JQF{XaM+g;ly@RUhL`qA% z?c|ZPH-b@KTYj1wyWIfXQ^u!COm3-Pz|PY?jov;DPJ_{=UfM7IH>gYhn{U{6(sn;y zAr8^^bHQ#Q(fK4m{B%B$(c@2YlnRyobBUWib~uEAicaZ61cBc(6!yVrG)IW~|Ort8W|Y%@8K8acj*_Y{ns z8p-;4O3q!*!r^^`)P|Dk?)}gIv^(nx`;VsyK~)lcxVq#rh7E0q=aeVSv*Z(0^xMAK zv1j0=wosLTFON^fTOVi0N0~;s%wA;xN3cgnCz3Z*TU~}~M@PY)+jj?rlqW@71v*{J z9c7O;`i}1izK!4FbHFFKXYaUEJ#Wzj5RbmZou}=Ts-7nuKhi!k5#%r}AD!f}MkLx- zb-W}7o#kwlo`#9TlyO2VC|=XxQ=0QO4<+sKp2<9Hz>HtijliXe>|eh%v8ikU>D8M{ zqxsdFRE_1M<}YIfBsf2@Xf*f*K22~8V#evwG(D>7QQeh*Z6T5F<3FNqAS+{GRL&BW3flt*nwy~`DxY@v02?;gY)B?Pl26sO>KvNKM zcpWF#5?V0&0))D@lUc9{(XV_RR3(?Y(2v^|TCj=UZ!Y;AIr}5up@FZqg5aR~!!!w$ z+O>BccqQU0DEZX$csW>DscL<>m|fJLv4^YnHFLg?t@br>zHhL@(S0wd0RGee(q9m0 ze-T&zJU;DFul`W808-J(3MxKn>_Jug4!-PDI`30oKC4UOl7fouw%7k&2WWEC`+Mpp zrv7=+`jYh(;M0PpzT-cBtN;4pa#I}d*YV%F-;zM+&DD5l-Lb_h=P*h8 zX7mKYqth$ogB|CHo0a&Ap6j~~aIY7(uT1Kc!`SD&MgQIX?mo8LcQi3*cKK`m?)n1g zAV0F+3mO3Dhp!v>-&X9@$Ly*A_p7+s=ka-u{FHCycI?(i%@Ul7#_SVHK?k6I=^NEM zyA2F`i`5cxb4B}wxoz&n8h+RP(66dJ>UisQ;(U3t>pzOEW9NQ96R;5n{M>F|z%?(E z*9nAKU)~{S#*3cDU*CIwtYz)xbasK4@;JXIw77w|giZlB4~V&2kdHljV}5z>pJF?o zZu@VatVu?9pRpgGJUgGmEwP{=kSHxEAi2??AVwg5%upb;b~xZ5Lm+-kAO`Rt*#EED zst*dXh7EEJEWQL$n>MmTft=HW2;zZ*TxNbsoZ*7xZU%yY*uaB4Sq67e{?}nk_20wk z*QEX25I|-wbwK>SPWzUd0t4b_QxgcTv2K_I*ta-?x`euX^g5n{og&h_2PV|QmAd6E z^(eyn=aGP9@`8a7t$y=kXCC_I#{~8_1`q);H-Zop8n6)o$z=jFhyqa<`UdhpjeTWU zoIA8N!{F}j?hb|G?(XjH6t}_MZE$yYcPND-#odb*C=_q8kDhbxeeTb1{v<2elkDVu zp2<5a*(>+V=1_xp05&OzICO~rlYL->gosCnFs@UtG?OZMH}^t^u!)1{{DeZa$PEdx z6$%HzB?aN%Hf)3i2zu{9KL$eNz4e!h3%IL#bcmA~$-kY5K=fHaiQvMrt=l4lAQnH@ zC3xxqAw1xY;=eW2PlyouP^^P{EwT3Wcxf65V!w7 z{)&)NLiFoZsClNSpy z`vndHaO;K+;fo8~*uOyVo_2KqlY&j411h*7RKRFx0n*$M`@43h)8CHw($i%j!ibul zjAtGaqnZj$my}kE`hiM3B|-@&ns$rzsBpHgi@ajG&QlFVP8Ay zn%+gqA%zDzf?5C|0AL`a4Nwa@Su?K9q`PFYHkN(UIk20`yV39Vw#44paY$9h1mADu3kV)!|3oKGx_Zsy(pUYaZbj z+KJs#1VMjdtJnK8Q|`NZrc@AZ$EUE#+8XL>j~z&!Zt zI4;IIIkIG3j=jgX`D;v9{sCzQ!y`#7mO#W3R2{B#76>=?`u!^1`ttaSTM~Mt6FZ}7 zIFvUJbp&8;Wzdhcoo&o!1YXqJk}Eod@AoXKgb~!r`6A(P@GbF>>P*k7-FUIA*gnYT)ywEh}Mo zO2{bpr2D>+Y%Nm8Q8YL2O1Tm^xVDH`N;IjdBx)w%EHjquO^s49ijRIv%9tR{M*iQq zf83G=^X$9BjGNvytJA2IVjL`eAS1cu7Gku20L;7-K)0+TaEY1kE1+*y>zO%tP^;Qb z^Woc!&J1--4L_uS`6zl|q|5CslE|mzQT(7!6|?aj<`Fq8;*X{5#hg;Ad;DI=5xC8x z^jP`9hUjJ3Hh;YFBZbt_eb+2i6EfMX>8z)1%t?&Fu+~=~_6K-QD%t9}cXe5|oP$Sm zb|7R0J`OimpNYIc-#uAn(0OTXX0it9^UBWKJ2jttD$M`A7wHT^!f*&rK#}b{i}_V% zLWqtOs650UK^jbfQJ0mByjmSom~@{4)$%y^t}SgeGoqX)uIat@>f_sCstU%N3)=N3 zLpc8RyJi;@;&HCMn&GGXH4?FlES_wBktw9I%dy>jRb#}GIi)=RcCEnN!f}doG%w=x z5eKEtoR`#;b=TiP-Eq310P~hatxVi=KStFrKY(1bc#SqWol>i_%D2qJnss^Hi))Kx z%kUS>SKX2-X)g?Puj~(SzL$i~g&`l9?9z4}uOhNQHxVkDeP4P_Z66Xo+zJF?G1l>K zsGsNZ(M+4CutxI3gC~gE5N?aL5VXG=Ri^*X2gECcD{YPs-y=s$1tb zA=Cc`s$tqF)hxf$lqV}33NRVG1r9A)7d*y!yD$XbUGuLtr5W z(459(P+=?6&cMqaWBSVg%Xj~T0s5L%IG)htH zLt&BHu_jY$4J7kSa;hPkE9UJxt4i{31lZMYsF?~Gb^POeVw(`wqsdqmF1t^ zpzDxBX=fDg^5qg1-X}N`e}uhXoco;sRKhnD3`>%5b>ReAt-Tu`f?xICO&p5qc4mj7 z3ca>pp``}T$uE7JmnU2y&L^gSN2+eek5&pPmEA9BrNf#L?fot{H2n}@^xD`*3m%$g z@_~q5!6>5j<1~tX^Ae*M(FXq@g<}qX2Dvup5=DXL1tz1DSEO=zP88DPxf&7i1 zNMBC4c@CfP;mL5fjP%Fcz9mtp(@e>&`y*Keh9eBauwFEh(qOy8`HI&otxG1Q!ey}l zOdhX1F}nmAP_KVCh!5duKQY&Df7LX%nbh3&Ob@fhZ-r%5hZAukIuU%($f>!r82V$p$o5{<^IoA8Cj+ z1T({52@q-u4i6pG*8w0Mxx4E>50Q6A=KCy8OL3E2nkAo(qxoy_vg=!9F2(l5WH zGM4_tU2ia6sgX}QptAkq+kjhlceu>=yPNO9bf_Eg4JsvI!D##LhUj^H{lV-iwsP3; zwrLoW0ory9lA(B*F3oxo#~>vBkvFb#;N@K=yF|C~HYLPFWnY?l3G`|>(&~V@#?qK+ z+Eb2f5Z#6S$yF(ThaT1YqHoM5(2$Ac`j3JJc4%v})Qt1C^czPUjx;xKO&~i{n%psO zI1d|{S9phWq~7Rhi?a4MmyXd~e&el{wdv;`?WZ|q41fLSk)#a-ru@g50UsE2{QQ|N{6J1M=;CcMEk!AZe^a&l38k0iSlw) z&%BRG&xe|Xj2%z!6y~Oh8bW(%I#NP)PxBs+ywmlp#i35KR~*$cPoIZ^mEcoM!27AV zaGN;}4G(?85q*=E&q9bd>cKeY zHOCIpQ2gVRy7jwa{5TPf^zL09)*rYw$0(<)IW&=uX#AXVDXf|){)YkS{ns-2`E^N5 zm`RR5aQM0^xPR536okx3ZMC}jMpBafyc#3n({eTBJsVl?veJ3@wpwy4Y?qkIbMM>U zQza-rHoK9;%wP?XuzO&O*)G7gON8OC4FlOedr7Fjsq3~AV~9GlZY`86ZrQ-VqH*E3 zmdcC4Qpo2!h9pCL%Z5@Ygi;)JJBUs+GBf}toCc7COyu9+R*1H~LSt!QI3Q^jc-NdL z(-!k&;|H)LYCu~D9RlKH6Y}%UA*969grssX)tZY`iFaNS6*eUQRI0PtbT-UKV^xI# zHKp46VbpH4h;K7E-cLe=&|-g#J@P9YNZx8M;Ss9yfUEGnoiDIpYrD3wUOnwB9vZgM z7!5508NMbNq?diH`^XUfV@fZlZ4Me)Apos#@>nEknu0cX?4K_y4x;mAH((8jEs=E7=(W;a;KSqj>PqjM5Q6nB_O z!M?S;I-c;yuw_ojTyc#cmX3o|vLgx8%ed2AxM$w^t{b?>H-Nxhu?@9xv7Zymq|9@_ zJ2MHoU00E_Z@Dk=O)_y*MwLE~0Lv1z?qo?)isYNd8aR&yfVms#JlU@Sr=DjHLA4nty_#Y; zHieQDiCnTJ4lp7`;_fKC2AZ~%8O1^w$VQadygvdB>_%=-ISN|=>#@FQ3?(8pPIfI&o4cxQkoU`4TdnJ@|G2Eg2^0&m&hn8MZiLOY9C( z21=Fr4w&m*k9HC=l94UsoAtn0I0;~gKp3sPL9-n?(%UpZY%9!$4?!MBK7l17KKl770xP=nbUah394c>|z6aq2xmD>pvx5BV z9KF0>+>Ef{x3!W|NPX7A`WXfUZ6gBQMtBZF7_8+DIf<{OwdDI{V;^Jk$EMVYa5dtC z7FMtGvs4OsC+^UPbL2rKL5w#aL94oXzB(AQ)W^IgsI;b&jJ>P;!Q2uLD%Aw@Ll!lj zG{?4yzmX2Jt%qK@Om3Lib%yiH`E=C!*8b?{#_pCYbdG3Ye%lO_aGa=ha$vXC-Gaf$ z$~M<}P;#_Vlx{>$9>tRp=cJB5n$g`Vjd7T;Q=}E%a-jKBc$8%B{#ZGA4oZ8V86aG! zX#Ue`#Y+TBZ555-2TRwr#N~`Yn5X^eipeP71?Vcnj23CLN6`_=JA=|^X=P8A@JVYy z!eGMH#*65SDw?OZPGRjSm8R}s5VBg!V5C?YNbgGC+F@JGG1!VdYwT)k3F}0Py{|NL z>K9Z|CQ7X`UHMLh9hx!RXHZ;<83!yj3==DwekmCivxHTtwzjWja~tpdxBerGJt9Ag zZH6vR&;mp=;J3-pmgSy~C#(ah*R6=HZb!2AuL^#pHlm8LmB@vd!Q)lpE!UV@qN>%^ zmyjm8yWi@8jh3BO0+Pg$YIJWodnPJx3OWT-ce^A-)Vh&Q8R>wEv z&3-P=94Xa4kfW*Su5!Sji3X)oLR9gKLJ%1vu|KHcig1)eO%Y?qC3=oLex94AfeZR_ zu1TW17l?0s7{=Cj8Uot$`||Mpb!vW8)3RthZAZbi?69+2(Q1uIJ4bGbrk(*&o+d7H z7va!9{3oh0ay#0jI5O2CvTV3>0-HN4+Oqvdbww1|O`ddidsfzle34aQG742q)O&KB zu1qYbHK7ZzFHc^HY6F8dN;x@!<}3p}bPo3`l&lS%OCn=THX5Xd&nz(Q-5wKXZOxdU z$t;B;OMa;N{)^hFmP+*P3}5Z`Vi5wD+%kt{j$BQvaW-wzv+-4zP31&)P2!RdAfTR5WXPzl;=-qy!3O;x9cj9GBR#^ zo(4FiE`G8Q5|bO3Vg?^=>BZ`C2PmD6RO3tC8n#s~un?WsG;AF*ktVH#c+=l71-s}Zw*3P;|uTX?AAj! zF^I^{Oawv7kZ{8fTHl^a>GqB@ONQ82(*#((F~UJk3>Ifr}2Z zB-M@PYG?}`=E#T<+pFbw4K~!c1iGROs#F|I{4-(!?CHoVHZ1P(O2LPl-8L1KLqL{E zF%mS$Ga8_WJfS~0XeXGMGgCXQ62w54gg`4M!5eDFI~T?OiI!Xw$RVm}nuLHM$8JIZ z4a1ZrM&PB7M}SQwW4i|hUz%he6Bey>THyID$wVuOti-$GH%LV}oXV!N$0U^8GFWqF zCqp$4nbCeZS&Gx92OTOCs04!n?FkJ~lbrySqQwj>J7;T}!2D;rLtjm_L%VYQ4=34x8 ztg@JJgCnL0`NbA#6@h3KD~{L^Iz4nvbXH|9(GmZUO)~WK$fk3;aM$rXsmlR0v>dTLJ8^fE%ZWv8u^CPQcqT%$E(gSd z7<^r8jtL22JrhE=9AHDMftAGs9e;AzaUuCwDG^OS99xRZ4aeAR{#g8+0cFJkUzfK! z9(oyt?X&trT1h+J^cwRZ36nD2zq}3fv$IUllrCBYJtkpuj@;djbDk1&e|Za@pGrbJ zY~Izh#%o*3yAnaF>qb11C_VFFbyO}<(bLtbnZ)c~GmFm4UB)W$*6ki{Yhz6tx;V}* zYLG*Y8X%jwRz-#f2}n1Gl$QE1g$B`EKyE@?D_l!eON7bmMvXqb87T&}=VSn)>vJ!$ zBCv=CXiM=eE?`3TVrMd_rhAp(IXF=?UN->%YLOA8-PlKgu~DFFAMMEF9Fojd7ZpA> z*D{X1+s!PyEdHDFdo5Ibn@R_S`n15z>kub9Xx5WIePFoscc~RA!9|rOZi??hPVu!G zz9Kw2F*~vW{@tvC?%;50hZ+u> z*9asbDLxmw3OlL+{R`SmjEn=cEc1?{jpRUT3k^c`M)2Yu`ROEpL^EeGYBgHA4XoT3 z9Xv1NqFH6505=@=J9Tg%PZ1PV)stT3HHVm|hPyf~wEC2Jpy`dR@Cl@m#Jc_5oZ1bufrO2 zeC<}&^fLYrFd<@*R}+0__BJA7nofA7{(4^7S{@3fYhFIZ1f`%&Jg5qhuw_S_k(VE` zdL&3ay-vD$mei;AT;vSaIF>~_hi+kI!`EAy*N=?FAjzdY?w9qX*KxYjhAzsNOOhAH zis0UD%dJyoly8b;1M)M|KYyHx=DTR9kU%n~7V%&@y{64hwM)5G7+^00D4L& z&AR1XP$P)$;`4OT#Y6vf!}B3h2}38`k?3{T{r8;7$@K@&GZu6U&vsyiaUee`Ceq-B zJ?l)Bcl2rU+w<*MqAYyod52eZ$lF{0^_gxESk`eg_)uq@M`6iBV@pydxidvLSs)*k<{t0o^gvERC}`yu_OzPgms##D zsq(#j>%(v3BE#aj&)S-y_v>;`0gpUAe@qVcMJsv6Kcnpu-t2IST!pC(+Hh7H&hFqc zQe>^*u7LvI!&nMnw7q+%72y9^bHA#2T79oR;+2HHbD83G?rRg5NkbnB!{5Kj7dQ1s}53qEfw4x z*f`U*qh67A1-tUHe0Rm)>9SNX3;9!P&Q<^2l{oRtd*#dV1B&D;W+pGsQaYA9@n)M zogQvQ6|3{&}(cnK<5kigv1h^7eX)pBgFWI^`I=TzV2 z8J0HDH6#;qY^4=k`Yky%s@ED50Q>?zpTQ*0DU>O;YU$jRyG$3f0z09n_JIzhuPR1J zEc-i^nYjfjXk)m?5}%>ik7Uaf$en z|9H0AGx#)g=*#DL=&gO}%WgP){DDzqgSDw)^ei@0Ao^S~?&(>0IWSjX;8#WI#JFP0 zub7Tpwd-y9iCswm7K?3SWy8X{V#9E&Gbf@vyhzMd1ew-d> zB}CQl_A7d2_)BY@LIVHe-@u;hj$YbW`1WkYoND@uTg^5SmXH-@P<8__0(za z&`TdBOS052CZ`fBR2!?^m5PxT=>zyRm8+Pc*;(#2(Rn z+0eK0yR8`8$H(#G13_X|S>C+e%3xu&A+PVvpYy{riOVe_Gu(yxTD5PAde$r}BVFPUuejXtDjSIJ~Ihke4y%xuSpRzQFZe>FWEp9M9ZI)etp(B``}= zqRf(W6wOM}CT6pz>S|3Yh<>@ZC6-TXpd&|Cs|ReGk^H}OtA;i7;>ia_)PbkSJ}A}> z??XwK{gT{Tc2ekxShf&y+E=D)p_j%;<3{P9fbSpPIa$F=#8;>i{6#SA#Y{iNHHOYtrBW2NNlLG zD#XMt5{v-<96GbC%8s}%S;j-=EitU9iKY3?sXzU_0I4DF*^VaHqXV~1og9)KGs2R$R6krDRYT z7!$J*7`U@LgeyZr;Q133L*IKMED^3u`#7SZqnoAHHe?yCZgrQDfEfKMHYS#Bll?6BPAOQJ!|KYWRj)X> zi;is9@+#xH4px31%z+9u%tl{$+?xgkof1G*&2YmgCW|ZZJ&LG;)d&5Z0$0fQYpQ zPN0YFg1cd;5n+>T|HZ9kAu%y%4qvZcY1PvgD*4n)H=y|3M)ik^xjUxO!E%#oMI+;c@iRuhGv!XvB_ zbzje?k7GbS(Z|)JIvZCfwPj|FLLHzv%LnTWOH(J^~W?CH&{Bg_-nlPh1_C1ZCzC3V}?k%%&=q(!k0q$jK1W*R!6T^*?>`7R7=OJyiU1z-@ld3Q+B5r9!r0{ z%t_LkNB@=X$=5ivE|bFf+n~m#3N4Fe#%Ij;%Y-N-D7`p)Iw66fR+%V?Ro_H&pqv!e6RJ{?1&~15W@pw5k z>W|b05onBy;}UgA?w$Fb<9ZGL!O;lVZh zc+cF)7$u*{4Mlf}Urf*r99ZfM-3_gvb?Tt%#=3@0?5FK;9gFKga!}YyZA*bd4Y@vd z$n}km9|T*Iet@1+fQpA$EOOeZn}|=cB=Xp7J)`W_%2pj{xKOFw^R@_t_qqo zdWRs4kPW3l!C0H)IfP0hZ56g}JLPiPUKnK7i(GlK7>;?8jsLJ;{3I!X05rcVWz)$S zn@+3K0(Bypsy>Bs2ithHUdF*0J&HuY!E79`-Fleh7__@r)ZJoBBTLbC*cNVeqA0Q~HZW@Py>rBlSvNsvdq3Et>OdO&N1eJ-sHa^yNy8z5}q zr@$6AT)sE?H(VGyJt<{h-Uu=oky?xtnUMatiPVSk)2J-Sw(cd*FwyS>zwlt1Dyf6+ zN1+Nx7MBe!LYtzisw7FjaAh^=I;#GZ=v#8h_l1+5OmoP;LGqbljoNR_YX?`oG$(|q zc7ZZ5b)zs4%Z^1;%U!pGA{1=AdU6b`@w|sqdqpCo(Ldiwq%#IAx6WWT;b}A(OrS3# zB5b$YlSfAx2viOLv(AcK!{u<^z1RE3-OU_5E+A0Zfio(YL@_MxkF>B+;Oy$bzK@lr zCwbvCsm8Hr!yQs8=Sk(ZOVApTPx#fN1hmA{;=#b*YtVt5Z_q(pWl^}T*EAj9Mt)8c zQQYvR@rm1Mw{Zr1o)z2FpchxPTZe=c?hU3ayvJCVITQmBvwqHYMUf)4aQQZ7Aiuv$ zfcnD^W8V$6{0gy?q6JIqqF6{RWQaQ}@S?gAgT4q#UpyS6hh_n2++ufp* zVZAn@IQe$B8=dc7$k}Rhn?26I9!eOtX~1%6uz|h|seD8t0_o5@Dgv zx$H;e{l4Cyl5bY^Za}VaLW|v#D&bpmUhf{hUeT#?`eonYqF+et-k}oSkb6h{E>~De zD$N$lh?QnUyw#r}`-Q_o7H?>GEJ%%5SY@Hr)M^gjfRU}YfR5H%NLtF4Ctwsq#|AEN z7Z%#Bf8%%^JV**O0$_qk$$)Z@SU@lt8IUkl7KjXnrUs&ckI8@pke9&JWEOld5jl_n zauWm0P6)(GZ4iY8o09`MA%EliPXQXZmmG*jBmzmtz}5tSFnvOYz=!zv1NbKa;DJxb zfs#O*2>^KR_8rMk02zReNB7aVbEE%?a{n6O1pJ5g{vz_6{}2t;J7Vej583}kmMj0EMk*i&FkuxE`~U=!{T(v{ z5M~P!>@SL|BK4EA;G8!Kzi`=Uu3rf38tq3$^lV#A;F$BKvCe^ z2_(3i1}Fpso;k`dDa4-)eP#^3=>dG0|XQR000O8C|j{k_6gBz*pU+`e@$-NFc5|JJ_Vt@L`hDZ zR2sG{>mDlWu8xw%qD;R&4az;h>Uz|F2#t@I?0Mx?UG zFv)-7$DDAZgEL(Rj^L&dMsDtJ=ZK<8Hd?iC4xk2SmaRL8ET}aIf$PB|1f&5Cj`eQY zXf!u=?_|>k^5-`x^WuA2=4DRq6w_OrVR85H6z4skv5&E1J}mJ-ZX}4CeGMCM+Zg7D z#t^AG*1pUX?Ta!U=Y6L6q`JH~%k(4=?HwV!$^LTGc+ASnNpv1+J#f4{^#h7ttz-XG zI_&v>*QEXnvl9a90k@Cr0*ETJ{R0vd4tIwM@Ak0)0NMW$02u(6;2;AVvp5*d0|r4K zQWag3vkV;A0)OW8Sf7_I%hD+CL2hzrlDqs4fPp^ayQ8&;|mY0LL`69wPMAxvev^sVGn zHh<|8mhHZRfGX^?^Kb&-@=)bs1Akl(47>-v_`%n3ZV%CwzX*o7@%iBTY;ctQT-9+f z93uDXA&k)M+72ctieYZU&-;-(r1rT+SQKM*#(AHc5gOYIH@b;tl@ld>q0g~D zo7vuI?{J2{+yv2GuHYvt$DQuiH`J`(zZ3lCSTrgWOSS8GKrCXWc4>tk|;BL)LiMQ^!c^nX)AIG-EWf z6j|5DL-kFc3{o?2BJ!f?GU;7>Ilr0U4H@=Bvho{@TD^~dZ5|V2dwDcjHZ6vZi`$B+ z^lN&2TtxFl1p4B8EPL*6D%_}I^M8BKVs~22Fe1w^F^RxujuqfFV}8lG>=V4f;+V8G zSA1^Y6MZyXbEz?NkHs9`8&QDl8D?&4j&|bDY{!Eg(*T3z9T#^b(kkaK4110YmhHRc zw=8ox_^D!()^egZeVTUj22KqEFZL!>sMitveq=|(X{_>4^>EVg)Ppd&%zr^lQU8+0 z*MT!3j&&?0y+ySiRcP9zauu4w)}iP`g;u97G54`-_bP4BLerB)5Jz5Ny-2Lob}Aa@ zV6YdF-_Yru?~Xo>#}r+vI65Mxeq*!La@;!%oDk2Vp*;zjsUNl!CRk4gi9h9|hp>(x zHMPbq@pM5s9t5+-p+}=DynoT1`)mKRO}8ME)?Xl!ap1bdqVd1b?S^cPGnhwWXfzP; z)mLYJ;@^1~&!cj$+IL|7j%U;`Hb5};xW(4gF-XQ0J7#6?zB%=%=LooPz*T? ziqE5)nSKB2^Y8G_&AF6*`epFSzL`($!RQ<2%&%^5MvgtfTN{5G1Am|Vg6yyH*ATfE zxZTn5A_&fr9ljclZ~p7{%$Z@P7{QkzegFY<@Yxytmy`TEC;$GQe8>M5y5O(mu@^t} zN)NsGSvW^%bnK(?tI_8he~!FM45(M5KW>IzbRBr%35wo1v^F5PZS!IQF8;Fsig8a-5c3KtMyUp4VRu z>jQBC@Bw`u@BLTJe~P=MVr#@9^Opz|2dpU5Eq`$9l$yqllYiKoT^S7x6C)TvZ_kM@;b1+a14>I1#^TFnfkNq-`Z}eSA`LI z5?jlp3Gpi(q38}F@9M!DUK77eSuH>H!bO0D5xBds{)UYgXDCF;3xpr=Ccy8X;pbQa z{#dp`n_f8x8-L!3mm**d6iu+eco6)uTbkho;D20aP539qHDP8S*mFPeKcQ&qj~d?n zq3mxdIx2{K24^NPPFT#5`?dZ&f5o)T1=iekhE9a_-iSaX*8EC>s*xz)#9o?JCsG>n zPGa0igggFAGn7|?sg?pq@*RZ50Zq7GqB&L#;q%^Mn12v+FLCPZ2lGnyitWdD&Sd(< z1`OH(7Q&JwO2rtaH)K-_=(x&Rp1KQZ1+%4!M#kW`H1o}`(t1VzRm&}n^A2=vVA=STMN>m=|O z-iVyK?H#%h8FJ(){0*m~#Ztw6qB;vZd`6ZU^C?tfR z9#VKygxQP@o|z?Fte$~Xv?%YDHTO%?o+(2+kADn1RORJXD+GgeAF#lZ&bK!+Kf?NA zLMEmxE}OrVTR}2Rya3fyfFKMFK3hgz^csCn)KkXr!Cqc?p*fr=We2Q4%z{Up;43j} zrA@>FmsrQc!W>gb4jY*rHkxE+u+bEG^RS8S>}7h`2=Ia%hbY)N;1mwPX*p48`V$aU z41YM~DFAnSGqqiJ@cno9gV#CmR1V;oIo0XnlTlsGC7G^)ca+18dcA2CZn~Tkovu6? z(ba_Ub`7`V9B$MbMHO(<3iM_?8NKOG0XK)ZVG8s{z0*?xH!G(%LwquNs|oh+7;Z-e zdZXU7S%sS+=k#W%PeyO%)4=WI7(y{}z<*Kiw^RU5FA$vZWCT}pL#R!_VO>6qKtE5$ zKEA#@yE*=Nel91#!$zXUC{`sf_B6Pb)RXl1i?*VyuK_=BKgGuGvJFvcwh{*0(EhWH z&8WS@bj9?B9~W5w;8ld@n;1R^Lh?cQ=GX#UQ4r>VKes2ubXf-(>02;Tl0+IQT7TdP zIf)bUXHrJq^s7Hbn^e?5>%rKOR4_?bFRW}2YL+4Hc#%{ixh}mCc#`%G-@5+F1(bDs z2_0c9-&3N_sB(e#K!f{6J-FZpdcOI5&^C7NN6`<`D@lK){{tVvoDS$xU#b(Dv|4pU zmpT`n(Xxb>1B$Jv;x$?;SGCJ4E4Z7bils4oxpG9UMqJhGCmCEd5@0~Ca(~oRVnH^r z0$<;h&73K9VYFCCpH<3chg9xjke40M^^gMRObn?i*9;X>tJdvfAw}~=KM6Q<&x5?| zmaYedwJg$$NqQjwa~l1Vt#$PmqFlS*uxDM8brn251&A zp^~I75>{JvIE}Oa{@be3_m&si z$eT%XUjDr75UxW|tee8D0aF%*?^L6R%B4%q**8q78^?Yi{i^o_JNybusu) z=8U%0O1}(lhrfKhWWcRAUjWcn&9IfG1D9M$39stEoO_dNfcThw%8FF45BD-ZWj>>BIYfxn1LIFsz~rDAf0dxeYJb8?My!hFD{6utK(dO~ z<$sy`WAOr4W0C~wqrJlbg=jh7SJg`~F*~*!k5H9wFKJ(cF}Q%vO1%sO6b$zc!BF|m zUqlBC9pW2}7`X<);>)y^gHM-uu zJTqWT>(A^>pMQp19;B~EkgYULk#2G$9ZnwGL^|CLpdr0Rvu_pYs{v&djWf8A^z<4X zFcpL%KQ*B+I1ExkF}Mjum)a#1CUletrN-KBl~CwjgO&(IdTK(ESrnj{Qt)IMDW!xf z8g|>6zr;@Icvr47f12!SY5ok3sdWCzTJxvLjF#w!Reuj5)8Uyv=%aPJgEs#+eaac% zXm3>cPy&O|sxenm)GQTI^Bu87o{>a&_Sf^T7oZl)8Mj=Vc6lX#C z2MYY&tsSBfqpKZO7AG}7bV+fvAHJa>p~Y(-FJm>Rm_-JI2=>z0rLVp?RayZq>RGLrpmzw7%ape3>Hh?II zcavr1Map2&&G?*~>EX-Y{`NP<#$0DFgW+&7tB${iaRfUQ#!e7Mf&)W0kC(;cT{+-O z4H>#{h2~|wc_`^;+sVQyCpYi%OU5eC(T_wfU4ZK~Ik4qr8w|p2LkEBaAS}MEHZEsW z41b$Z3Gl`(q>$U3LU<0RVhSNy83=_~HLi(D3Sp4S8>J8iitU<0wuz4{U*{@`<(y== z&eI4#ku7X?YUEBAi^M9D4BeGSR}>$Ri^0+z@g#S@O5owAB*%+r=Eh^r;QqLo`{AX1 zm*b1;5RRzxV1Q~8eB!e}F}@grDE@SZaDPlF%TGOs1v#yrhvdq(0`Mmn+^m)CqeA8#qJd)}2IrY=&)8Mn| zV_G~L-qSbYZ*1}Sjr%ZE=Q`q2H+r1U9%ukgo%N3rH+@R0FvoDDV6Qz{Y-jo$M}Jq$ zhs^2pYfsSInLdM4Gu@?pCvPcfbvu2DCO`~Xj(C^>f z-R<8g`+hL#UtjhwPmg=kXy&S7KYxq@XBh2|qEXx);_&_gFNWvvg?vW#DE&M`ku7*I z%eceuxc?Lm%aYeZLP6w3$x|u$wV!qmqX!oW5h#)IBk0;6hGF6L^H+lF2>%l9rcQ)< zq%Oz-HcnCRF0kjXg@2Rz^~p%-u>Il`Z~f7OKprRW5XN{?y|F!W+=qkjZGWt*zJ7Oq{o{PhyV=H++J>|o+}y~v**kO7nL zw|<1}wbD;68b_rc0`gY!OYirncMIBE&+$f3)(p=CK$d;Qjq-UA2+wV=Jd+{lya(Tn z#}M3EXnj3(LSdMk+@es3rhiDlW~UHjm7;`sqNfYQJucu6@36fngvbm1Al#1;fnS*6 zVV->gEad1(sENzj3w|0?hLi(viI%}CAcb!rlQsckBAb){7`ngn1LqHnW!oLN&cut; z$^&v33~CerF%>&v#52wqlXe8`3w9E_=~EN`nzNkM=N-iYC^uQI`hVnpUojLwtvUf+ zIS!Dpxt`%G{g3y`p9Vp=Bsf4bC|X&S*}n@8NSin2yPOwYX*?&c2Jy_pEh-Wyt@C+i z)DDkayF5u9kgaf00Ac)#5dV|{lHoWtcVp`$gAp8C!##ZJbPFAgKhdMGRsZmZM$B4iQ=GS+s&_{rwV=gq(mS2tz(Vh5NmDvASYca?x?8o zok33eVDU3WX4-O`hu(-T7!_2cNtG7@^iW*Mij-p14ie+GIg zhfwBM?lp#x@qcxRMKaU)ClW&`)g4|{G9QKr3Emh=Y=2)(Z7?>8@y>{Ny#D|DZ#@=c zwxbxzZHbP%x^tr8G@~T)tw2nCVe!N_gH;!ekch&QMg>^O_JqhCL)pl{vG)!avhY7Y z2@Fi`@%-c^hu5(r3(>e7Sz2u9fZYRfOX?z71^j*C+JD2ePOM7~2_lB*pAFGze5WAr zhbRn5UW}xsZ3v8MIJG?wxuNhwNRnT~Cov571D+;ViO%D|(}>#+Qkdx^R!`mK>ZybO zO3>ZN$?xOnJgzrgO*56~27jg!Q{ARaC65N-MS#BLVC3RMfMbt8)HKf66m6|r;e69)k3ZCSLxVJJo8k{O z{_G!+>h~$b4>hjvLrve-@I$RO#;Sg1n+`wJc*761+B7Yj4nNeGqijo5)9v)x!w)sy z7-!Ags_;XNH^e-if433x5{F3UOkO6$Z$7qJynd-7yp9LcD3 zUXiM=717^O0H=C$HAiSvD3Fue#0}LivSbcAXRM|UrLTz*U0I^D)VG+(shU6KzSC`s z)qi9un^RtFAym_(5=diq9LQyxW0B`lW{=#;R3JT0Pt`=;JkE3^m6~s1wB~ZKYstmN zlLsq-psHjL)cBli`dT-~pKUIu3RfIY+N=cJMozF?6-Cx|#yz8yPJ`Ppi$J~&^O}M> zcPR`5A`QrijVbd)u54SCg+EE|6k42O9)B5X@SNK8z`1IGIRx2M46d-0HanR6T1!NcdIu>N$?*-`J`H@=MZpaov!CFLbtH1#rB7-4p6t6J0+MUAUytKku%OR4 z=##IHfzg=lGn$|M$iKEH0DskCOc|FpEz8oQK$b@l8$7v-ESc1d1XEE|N%0)M zWK+7_e%yElGw){Rw-WQX0<8S{m%(GB#uO%78HK4l(CSDNStYSfK$a4irE=9=Bm}0a z8G)(#Hi7IGDC{a4T}6)Tb^^9MOwHg1n_}eq(%L4lbxUalBZ12vCwEj0(|;oNI3!u# zN|1HM|8wiw;IXcI66oZLf~97UXniV=(CmJHfEB0yHgCGBll6$!I^8 zeG}j^GH{uEmb*rP1ms2X^%CXd}5OHNbGB&W4aki(?r*@Mxy z<0$Axa9TOkcmgD2f>W)W;D5wz0vqu;cLA?l&oFsxreuFwRthd%+9s(yRWkt83Jnx~U4J5}@U{tJZEi^zbg%G&oWS^^`Lctho+7Swu+)AwuMTvIWoYF( zn8g>mm$Cc=TwE+4l!^}Bimf>ESAusJ(SIZF7 zbL)ynp)(X>Q-6W*(8w*VZKAT)gM^lWR*<+nMg!TJhLLVfgUb)Ok*(PUu*@7-Tqc8- z+M}`9;50`ybBikVcICc&KTZMteq4~w40c9VO@lY$oE+A`=I;^hktZ)?M-=>ie0o0* zATJusa3L|K@q@RVx?$~G+RZC1sYC=zqo3ovC^%dssDkgJrS-mB#1 zgv5Obj1_Hb=KCm((U|jtw5I3%C=GU&=l%edn$&uL%mk)YbBVJEfI|8YjShfHtAU=s zLXl{0lhVse(OcvWf(el#@Tsn1TX_L&7%cVSOMk6U>dIYwy>_251Gbp0LXl|Bf_mVZ zN($V%3YSj_+@@S1rN&QziL$pgM+nU!v9!7}LR2|Lh^lNgLh91TJt;zpZr~glG`Gdl z%9fC!rL0Fawv_e6$f!%0w_#*3m77!~nv-H_afOH*G08?iTDX$*!UT`ea=O14krMfsZ>GEGN1fs%f}wILR~h zSv+ZV2}%?xMTx?dtr4TdWC;g;;V8KX{Lx~VVdTX5hF2iv_+ZGiyKb3KStEI6_ECA$$A8ZKDEdKqCF!pul_8BjYo*X4+&WK^UP*ZcPQZ}3 zbzRCc6;f^#Th69V@-%E>e9TMXG=*QFc<3cRaCaW+V)_m{x4{ydcP zHt=&VCHb7v438#nsiX#j3Ki3JVmeVgjHLlOrh=dS$iKEHka&0Qhwq%p^nVhCUBFBd zbExq+u41TJ8PrsoU-n6$Ry3zOfm%VQ;&DL{{YV36wg&LitUndd3hDrlBS{9ozb31& zHHoC(CZLi1dFJ1Bv^@(T<8cPXyHm<}n#=<;O;jzGh|M)YX5T=eFmj001<14%WU9#H z5wM6KATC-D1zu#0r)YiGWPjE*%%mJ>JT|*zkkcO(-0AR1;v+N7UoTzP99BHFJ4iNM75EnzcdE8sEI&CK=>lFx z4lkZE7jY8s3|?C%BJ-o9E?@ji8IkG7qR^Q)9}M@ziX78Bzt2$fM#DP!Y~WK*hzm08}hVIkt_cxGRSg$RpQa_E#e3>h=YQ7!c0zXX0jv?*o^1BDDmS@LkTDXq)bw7kA;Xc$|C#?zikH?cA;!AVKiy%N=bnJU$ zX9Da4Zt9aKuLN`-%_hNq>Dd*EeyI9A9nKF>(mnp?XXIguc7;#xlb@qtfqs0&GsKm@ z2!@Dbgt$Xk8sv);piv5x!a&Jm*c4~}WP)KwZrM&2=XyFf1ptkr=0fvSBP5;qhwqxsDC|kW|t_<-H>$esoFjfjiq0) zOs{OMidC(DJ+p6-yVAHkV*LJdds6yYq`!Q*Y4y9$u)y+07;4U~<2unp>EXxmxb*#( zp95#K3V*_1tAD<5{RmIuVrqveLdO_2>EppY3Q5p;^6a0YfdBVf;pw%5cTB0pzHaKW zp=zRKii#!60>dxqh-4(fP14~cLBh>Nz4XTbnC9Ij4G#x{%8Dji*zz`X)ev<-dJTQk z@0w}i=L-G>vo^z26-hBbUd{^54o_h!_|f#7Bd(yeIgZJQtpv(j5rQ4{*ny>eE&GU zJ%3$Ah)Fr_^c6q8V%yso4I0zF)|VS@W=ku&xo?S@XtHlcRPBwqZxNJ@ln71c>I`Mt^AmFOBC~DH4k{g_QH+Qcb2w3%PxI- znr@SLsr!SCIM<~7$I$eTWwf5z5B?%L!GAWI7h*duMe9EmLHe!SG9jHJE&ubkT5Isy z_m^xz;CI-F|K?1JCU=1hKYBwnh^``kUcnA80`$%C7a{lz!lAviCx7`h{+Dw~=g1Bh z0U`Zxbv~a_5att&|B*$>xJ};mBU`(40f|E<`)jx zK?sS5@X*inR!EsT@>mOrA&O^R>QGe@uV~`G;IKsF%Hz?|VM)6e6XoZ6G4bloiH6gR zBFMKqUP;C>T}5aA zK!LxlG*)0dJV9O>@DIr}Af*J6Gb{%u#ZxktRP8$wdv+wYoNS>GYmzHKGFNaMkyNUY z9Pn#852bAxH%l^@GzZ|YNjse;?6^>dUr>P|KdPIurd;X9eZfqF!jZZWMRDXN#u@mMT4> z+;cl*A~Dy5Q!LJ!YRT%lt#l+YOITIntjU*lKnmvP0pQxqywv{89qo`&=3{R=*$n30= zxBM{BR`*+#-6F9#%g?LQCRh!#NupTH24PttzQC$pC5lp+@qsnr8-?H>LdRtYEk zllgZHf9Cqny3VatZa}M7IJ9~`QA-xw{row+XUw8D7gb8DqDrN|n+Co0c>5Ytqw{f! z6s@~8OrzzelvFA^r;#`-ZR`35IHk(!|A2BAYt6q~PN{NmN`FqJKC!>onEy&$Nmbre z3g6Y0e36yH^D=*e4WSAG{xn>;8>kIVvW`faj{hS=n4n5>ua)42QzG$Rkli z9aqU!A^p7}n}4qa-IDMprl{{-mE3BOJaw^fOII3`ne15NjwNoMCDy5A2NVwXGFDy) znH7E#3g2#yg}(|v6f$TEe-lV{+xX`^8L7}0vadh>_@aS@MPh)Kfb^4~i!Wl)g);BU;MgDuSUL z$~=-_s?+hW50pGjx?FYVkWMg~l@N?V^SW(}V7!}|9}RBX-AQ>ebfxNw9Fg@02v2${AyubD%o%1=t&NaS*O%z2Hc zrk94y?`s1mmr6?2DHxSv6gAyT3auzg1?SZ$5$TTv&D3_?L90;7H5jETi82)|X2xR7 z?O-vjLM7LBE4^!Us3;kGp_NJ7AXMnJVAh9BVH>e(yfI|-j9#9c#Wa+CSsysLcBimv zzJD=rGO1)exm4kNuj0duawyxnAfq)K57*zdA%Qn)m|cC(K26*#^H<6DECFS zOZQcB-B)F{l|)jc(@LtwsLVzr0Hr#%bbrenRJmoXa+yiQ6%%I%V|K;B2C5E&YheQ& zw+WTptX5fhErE(Gr%;h;l}an2(g~@wZVKdFMddP(WHn{BGOdJIB~+?|o41YG=8guH z%RZ7bhn>vfu+yYY{NAnapaQdHwU1(tot!!v6pkIFQ!e5>-eK1!O!9iZD&JXvlz(b) zGA%pjW?fQ`w2G*udsSAOD9#cEq#~QV%$9Oz=&6}4y-Kgjs@W#Fv#b;l&*%9!rEwTM;>)$3zpOdW`lFDNV}w;%k1?Vt8Af!<^~*{} zc!M8rErb9LXi3&zAl5K5%wsM1_K}(GBSn1jeH5dufgoNA+K5>r1$mV3Vx2c#JqL-*Cp3Y`BIHhnIr6sa}B_ZmV*UJm;5Q?h*Xu{xPz?CJUDGqz;& zHF%miutx)Ibt<&gb$>QkadDzNy)LC_VQFbPMf&yTtZ|&tO;(NXoUuKmlys}k=*GIX zrtpV&ZE9V*&ZX%BASej{A2-#en1pz$N?m5%D#&&*)uywf1gcCFlT1~nmTr37RGUID z$yDL(>;#}ui`_hKs!ef`@l@5ig!wB|r6#L<+*F%_6%zue%YVXJO#oH<^>I^eI?zj| zT9<~hda70X^>I^ea@!}gT37LBnE<-t0F(+vD|6*w0jf$ZM)1hFG6^_Y=Ac$pqo(G6 zPOC%O>QOh+Q5ucdhJLbpj3RK%(a0Tr*rK{A85S5S5mau_^VE>@$|^K zGRiFu12&=8_VocuDW{dWa_BCK*%cpPlUoXhS&+=N1AjiiW^E}PvLKmj2QO7sWn?3Iaf9tM&Ypy^^Rmg<4vGM zAK-Di=pD(}#*po#q9_N?Qq_)pS(I8y6nbT}VLFnrl)6YOG{E6`RrQYS0)>gc$~>|_ zrPpqHza~bkGNfVah8(&T{^y|5e0*R-Iq= zBo(ejOCntM=}CBN<1dm~akj>KfSI+sM87Xk7=NAKqv0_mmcNhQds7rRk?jpBL7Q3M z&vxM0knp#%6(!ql+WE{M+UXr8NqQxyP^c5`F`1THb!M2a%=c$+?P27{tE+0d8mjBn z#*P}Sf#h-WC5%dl#Z`F%rznN%vZgDC$ioM_I&pK>rG?Ys20&E?O(+&?z!HHL#48bK zb$=VJ)C{h+V>;J_>F3|yIv#|c=kR2WlO^!966({s4IpBLXM4ex%y?Xo{+X8(7y3L4(P#x1pK^F^Q}}Oqxit z4jOPD67m9A%JaFpab-t~z>d&I4-&^uP=D|-N%#Ngiv^cxi~QiFoK+$CF2B_(NRO2a{j?;YY>+E|Kki@dI~+p@Z>( zMnbZit0C2|0~PZI$9gS{l1Yo>>wn9$o8yn?=eew4zOAa0>sJO`R27LZem)!Zv+XWW zbyQ}d)yG8yzy`=&MR?+gHE~A~^MzSJkFKTY z(T(Sao(3~vd(e~Lcr;n9CrKu8xF*yDRYao0K0gFKoBfk3h!S(A6;cmCm49Iq!6&Uv zRk&mHY#i|E+b{yYCCX3gqry)Fcq9~`rMrbWmoZ7js)IgAF8!KMbm_MZWGoynYVcZL zdZTj$^{U>wexs(=nK8hJ)LcZFtTq_Is;;J3)%6`#w+*X#ifxnChd{7OQdbhJn(7X# zPhhy8pj&@|zu&xdTqrA-p?|T#VVODTIZSp*lgOPS&}E3&)buuWnRYC3$>OoZkIqhx zZ;~p^E(&h&c>N`iGMnE0$Jo8cafMrOk~-+_)JtxlQ3247nYf(1HYXp}JDx;Xt5C;I zGYN4#eJ-QNr;MRhJ+xGjRqt29xoSbCeGSYqi#gN&)+g{=0Zsur%zt^*HBtcva$_5$ z@X1p{Cp)2N6*76P6OlRTF*)cY$yy~v+lp+hf83xT0QX+&@X>SluzI6ndXcSUUztx{ z3#K#Z z&Rf@>vRh(Vg$9Jjz7Pv2|T|it~PhGp9i$scXQVvSRb zc@3!$9`l-QC=uS0B}JlYQuvTBwo8d@5*tjb*i9<)MK+OIu7;GHC|de6ifkIVE?M*y z{&sS`h9~{j_kUef8Ai$B<95kGrR}EpN^zM#sYEB{3=M9Cb;&b?nay1kKB_(eH<1+du?8J}9U_sGIPlv@twcy(qJQfUiKb^E>*VN2dX_};{Umz* zvdH9Wk$KC=$COVnkpSOGyG8D2m^x^WKmzg;sgMLkc=~_)+uz#6J3vk4JHF@tuWcT-`a*aGFsF`Ic*@600pQDWoP4NxMr52OCuPXeIvK{7w9MvS$18helgw$$@n6BLxQ4~10iwK2p{Q!5wXm-2_VA}cV zKfiaQ*T0C(`Us{cEI_Uucw?{nn*F*_ddP_|rGJsKU^!}-%uv2db+H+$8+sN!B0eMM z(;C5ipF$MC-oAi7Awp}Ya4Z%%SG+zdXrVzpcz8fG~ zxVd*qItkE87@-K!GJ^|SA6EFY?PSr$lbd(98O_VA2ZbiN)kNZo<6BKlIn}p_jLGVo zE`Qx$*nv$^fs0bffvSwy{1k#kWgYQtRD_qYpDV#KYao+Ju7D6fdR)!@*`dE7XVnWv z|MKOm0FHIA!gf80uBQPCbKeQ8Nu-ji;#PWx-;&x?Y*(^CJRlc?p?$hY?$RxTV>7Dj z+SrR=x+m!Oh3)3sm_5w|gq3Luc*RNJrhleAIqEiZW3m9Y=YZh9m(a`ZsvtNObM(r8 zCiMP&`;fa*7jon>2M8D{iR=T%r9ldLnNM9auZzSwt2LM6@QfT_%oa2Om`W^Y zBQBk_CH1np&;QhF#U+a)=_sb>juIs^P1WEjpk#HGbChMOSZH%h)zrI5lgyOKRe$5E zN)%7E{p{0hNxcn@g!!5zb~9DBJgx>u9#>5?yO}CmN^g^i12ENGJHlLYIIZ=O)K^TZ zdLhZ1MtLI*mXyWxX%pm`d36$38UganWE1t0U}79QzN?xpOyWtCI2frWc9dh7tzMEQ z^5kwdyQ=BJmTTPNsE+^(>t?QOb$=&J4th=I+Cgn6HW6iVM;c0o#pF zS#e0UII4NUwtK>gL#o9iQKgQmd9hVR(PSRZFLhKIhpj3KD4rbBzoV@E3V++)GI{ov z)RB~8tl(nu>@B$?4bWh!FrZjmG|&-TRYn@%;RA98N6nTjcc| zbM1&{=`1`84zaoC+JOU*NnRGf>v`$51Gq9-tzZOj%(WxWh0erZRgvewLh7h0wa&=1 z*!2O(``-~<*?1O*SCA+=-FU3oc$UP$Uz53Zpc69kERJek$y_<;b>Mk^L{75PBUGg} zUIhN$JA@$JlQ(`?H4qZ~7kDu|hcDzavPbFX8H#MdgIUHMe#iZ%P%kQZ?J$n6OrA=~ zul=-p7(KX1h~S(#egu;XML#F5RPYYD-%#WX?f7fbBy|2j2a-6yPrlzFXEKcruvU{c zfKd-T2&j`Ve=2`)zT9)X5r*plo(X^~`-&Uo^B@qO+g`=$DNEB~X+tSG!w@9X@ul_cF$HT{)f zN=@0ic83aUX{J??SX`Q^rPin5+-ase&2;m8>U!#gLP#=x;+cF!_{$=|$eP-b;Cn;( zgc~5DuHp|@r`N9pC&FrqhabBS!Y#rNu^4|J3AYb|E!_HcFcJb3MmC(NJ`7z%Q$Jha zeL9q3v`h)IRYRUaQEDmyy<>jsFuzAfnqb~noAzbL`*yr<^Stl<7;`ryeD>YQo*==V zV-*!MGHf=(&$0f7d7gdif5T7lkC?AbeHXL3MO0`q@-f#0qZ^FvSMb1tzc3et&R&1a zJUirqvW$73CCYHLp|DhX-Z8;-m>^$N$(#PwLl~i1@z;vvMO8`uZ{wa>VN6lEIgYct z-kkd*baQTdm|TM_L6owrRu+gP&f$xV13ANR`d@{eP(@umNrjw;k_;K8dT}vQNv#sJ zt74-%t5nwR68*lwn!@Ql8j>`z_fdb6mJIU0R_8*?5pM^MO_J?awOWOJsq>j12S1Qo z@nAGTeKt+9AmwLe0=cXwHfcQyG3U$o{n=Z4NQzKMm07h`vHsqxPXeZ}W=-o!q1@7={;rEy37g8Bq({10!{5Sby|Nj&^DDg zjRmr&o}CjSYc{$RsCt1CjVGqW7^n?70lEk3A2-7&aPgBXlu|2RFmrC=6tY%MuClZh zb*GQWA@noMc%`CMSTEm7HW{bt_1=;mTt7fDLXOk}Bt0HX$u{PYZ?*gm?5;%5I0B!t>5dV|_SJv3IC>4MU z>HqOZ5h|&+SpzMeTwHVk%*Dqnz0zI~rPx%s4s0)8)XlN&4i|ATxr{Ta4e*Gq2Z)(t zuK?1MBq($Y|L<9lKwp;I%$>i6%~0xJYd4UV)wV-vkdpnNz7h;#J{W%_M|@+Fsb=$l zH0M>!)GEb{jwG4XcLN-ewAr*-^I@sfo}GV`x^b`v(yw}-FoST_YN(26tcN3{s3vfv zC8&N1IMx{uPX_R1vsSH=cJ;uP#FT0!TCCjDfxFtYcp`9DD#L1(GTZ=hHKlJ!+d2ZL zWg~oAh!=D^Q`4#py9R%d8yS&XTSw&8#>mD%{xy60AP z{{UfNgiu#5Ld+V5j50xKGXYJzOuz%Gu6LqW0qdUUFHL^*4-{U2#X^L=#5$J*QQN!7 z`b}5!u4h>^pGz+2!K_)=`xYv{&VD(O4>|v17B*?PKmtXL{1lskr3J>UccMCQn`yS) zPs4xQ9Ze7&wjS6HMElJWUA38kub2+(lR2(~hnb`$3&wub_!8}xnJ^qK_)N%xF%e@w zQd7jfkmmfn1OsS!BK(I)c4RCCOK(|03Af|Q_RDo}Bx+J$S#u~2k|{p{8<>1z5>ls| z*|QUS24-O4x`(V7$+a+sr zExV`u=Iif;`w0Ri7h46Psonm~Jn6)ycC7g6dV{8U0CTf&5}w zqP6N3!0fkKZ`A{Ls?)^bPCKem8(y|f)&sXZx3@dSoavm{yTg2X(d_RxvMb8`)4)Y7%D!k)!=r1FGcFJ z1x+4&h0L$De_O5^n}<$4vggqUQ*kDEL)5Vvkd6!IW*lV{j5|bXqNGX|WrR{gqCJB- zblvp|*4vBZe0Nbw#K8>*8Od1;>B?s{i=tK9Io~+%C2dI*yzzH;MA~iKFf7Z%ElFA7 z5*v(o<&Z=~#iEW8O5iRh)=iIig~@5%@CIS1k)%qJr!2*g*O-i?B=aB1OrMYsEy)KG zu(DEcBG{y)m)`}acSlRLhtek5U2a1(CVfwVHZW-7uvTTAhQ#*+)iA$IgZPkMs_c7N zc~oA2Nh$-OtYG$b9yg0*RK?+N@`xU`0u|9kPQjxxZ)}IzE2)fz#;M=gq~UJ)UFjQN z^q&_7^$jKD%Fa64kGW7-Ng=S2?T9C00Oc9L4XsH^@zt?uYzN#2Pw0`mnlenTXLC2*$5uK$a_v_mORfPa($oyWg za-aZAaiY}SbfkQHnaRj?;%FMXDArRy7;4MgO>Ev*c(`qe$c^z~h$561y-P3zJn@m? zR-f}A_1Pjrp}d;#8V}#CxnZ_mJ|1q{PYYyc-jT46VLJVv@y$Bc*L`o!25P--$DpBI zoVLz$IEZU;I?*kcbSOl)Iwr*odUzYM&23Qg7zPYP!Y+eSd(x0=6^ud&jaY!lCzls(j)1__%wM`{yq^%R zYVD}en7#5jb!Lg;MB2QmJxQDbq=fO_p3^Z&=A>x1krQiedyybq2p-Jinz_@ztMw%s zpgF8L;+sYhZR~|QB9$8uYHp&V%3%+rPuSHAD88$AoJ5Pvz!940H+K zKmm>!^1(w8C=4M0_;C9?BRsivV;nx~v>wGXy+Mhm+OWqDQ}8l5{v(A8>K-LEZUR0b zbV(?P*AK>fd3_~Wrz{7NG9@*Ra{4QVZ;elvGCkbV-b)YgSEt%r^4lia-Ng-Z-~E3& zUnHc8Q=*9RV`@vWLeRruWT ztD;F{_so)AUgT7D6O_6KSv||2{K>1qNtRV?-!Z#0^hLCGFOj%u0-5~EFg@-P@fc6`$U4cn0E%I|htY%R`8kVu*{K6$GJ2JNJ9xKR) zrPTG*%Aj@t|6{*b71cbMR2d&nn#Iw;+qspxY5Xa382Mt3l4<;!XiVS4T@-wnce?I@ z1`5N38v72q0Y|jV-&b7E=a8~VBIb12j!e@QYuquHSBYIwDZYtn(ll9YapuG__4*B~ zhxci&=zgc(GzHj}pTif~3zQebS<~meEbKdj3ailoods^J0Us( zCTWdxZ>g%k7Eqw0kgfIe8keye-A&T^mYc|UMfo3hA+-^bk* z*C}6YYF~)2i!1rxc5H0Py1r$b?=5P#mX==^chZN!J>8(6f~KkZI5ovJ_Pz5;Ylo@R zJOCYQ@M*GtYb`t90-Ipo4FAQSvyNV~3s-o8h9(6Xm zPiOE@WgMFC(6wdt0y10DFhLeI}X{4Lyzq`+N4{wP+BIvPND^D)bVw#Qi*$0OF3*Bb0GC@hti>eV-rC+kWQr z=8@-s#8)_;rE$MTGI9159A9GZga6exG;@rVTS1h`7Nmf{-IuW;ams-j5G3}9XCJid z>1Pf`IMKZ)_R0$#blj|7_trljf@I)!v*ivKZyEe|MVSKPBU<7hgR!YAK2nGXNNji% z8^@R_B(mP^p!+3=1@$F!!xyEdU&~Y{uSmEPFLr|}qH3;j~BpBozbe>Y+ z1aQS&z&vpZ1%zH1{Wi1!KsbuJvU-xOB1zlqA7dNnaWY=nQ1nj)1|<={9PIafy#Ca8 z53$s6mx51XOO)?zcgjwwHNdcU&j#03(}Rhq>_#yuEzH-TeXjazoQ?0^>?OC8%-Q@u znk?6=xfA@|WUtUgZPW?;ZcdWcnW_1&tY4e}gVK$wURUzJaK-?uYoyuD%|{kkzbQ-} zBNQVD7z}P?N({n%U`L^qL~J$L!8k}o^{o4)YYEMTOYqcvv*7qznD;&3v!KK9kFOZA zYyL-EcQ2s2~4Rz7$ztQjzUqMyFI+`xf_)j-e|0-2W zc;AS?W=0$CIYlF0b3OCdh-@}iadQz5^_XW;uG@gtC=c0ti>I+P!^-qm=kr;0x>1Ax z=Z;hm7zBVe97X3fgeQ`hj5o^liUE^RsJ3*`RURZB;KH=Q)y9Eod=e zn7d%Zal&a*ug(n$DDsD7lAH=jMLL`B{+_qjWAL67O7ll9Y$_PFAc#oIsl%!)5=ce& z_{y9_vZB<66KWDYga+O$VWra?vxBsh2;z|br+6PDCVJ+~33%J6Zv0j4t}%qnxG zu4rwRjDc=c?>b7%aaZq^z^9XZ?F39b;TmNKzQ*Z4a-l9jnP;D;m}+wyfmVvq9Zbbg2OT z%hXwg23mGFR2NI*_Z$pzW6h)uF#25reBYw#Y{z>a%pdJx=w)F8o0Lgo--jF&^qNvx zE(R`WUJ-P2jg`|<`b68BNi=%p2>*Qkp>MqfWWLDt1AhW_zdcCydT~z zd>gi5LJ8}TS!C?j=XBVs&n*>%#Cw2+r^vC5^B(HQZ~XKp*$Tsf43nfc81Il#D&!0)=1wUYE7nP_G1W&W`bA+`n&?3C&rY}`7ZEM$2pmL1o z@}sg~bFScOcR@tRVv#yy&mr$rfak*^O8d$UEzTT}2uK6bkj%rO=fjMSA@hK@4it@9 z-*en=VhuBXk@VywT)T+GpwfHJXrvipe7PiGlWvGtQ0?#2Qet1$xUCbkES9+I1x||2 z$>U$2C)8mxGu>5t=LWcT4a~dQWQU)P?d}3}s{n=Tk^-0`L5l0+?!N=wUH(AOA7_0P z1!Ui@e3>k>=$1*%T|BCKV;lhUzJzFskO@b#czh#fDMpE5N*z_@`^!V=-^8kLqHyWN zf^$yFXjzI_c~_NxYHa>`5kv^Y8eE#9WY`pzKt2_Add+#Xu6uVzr0Ym8&Qlsyou(UD zA03ds_x|l7Ud`d}fmQ#Nzat&t7T^~Wx-o6)g(oBrw~11G9p|7C++776 zPUdM~yOQWO^1)4zdvO4Sa64x>5X!9Rfg8BHNmk4sB!u02wz%S^yN2u9INEU+`$KkJ z2&*ZXS?R0UQ=gcrc+L6X2*}Ot8CCcp%gt^V@bt^?n(po(pcwYw=N;553P8NQCT0LS zK|T!e*h`c1Br7K!L^a5p?ysNZTqR>wXsYc5YW6fm1zM+4RG5J5KRQ%x$@3POrS&wX z`A)vK_FPm*Ytq4Pc$kwhKgnG%trILv8l+>w`81etrz4(2UgT=hYL`%cD24U%(Wl?( z+7eUIFrw2Q28Y68$c83$@HUlX$APvvR1HU6$<%w?1pNTdvB`0)N-W)L(b( zo6H_MbHPURF8KuTsHo*>PeSS+63yA(k7C+oM_lfCxRp$GL;mPLvD5ECZI<@NXN@Mo z*+iW4tjjna?S}3Niu`R{DrI+X8iAZjU^o#1vj8eK;FkMQbVAsC7efzDq<&j*16_Zn zzN_ifnDzU9KQ`>$R0<6 zJrlv7q)mEzWS+wSQUd>L^Edj49H`R*@4VKFifFdELoz1VO-^Oxrh{Jb8H`uh_X0#IH0kOec-N0nD_T;_-@ z-G5vi2J)GQuQtP(-JDG?w#-fO{(|auQX^0Rmi>Q+EKG(jw9gE*0e2iC24oYu_;A%4 zSq8|>yT_)7h>l;bnASx$RYe+M@CN=s&)QV){C;0tw6aMhMf6^vZ^8&FKUZ>AO#JEy z3Wo{U|DZ1*RSc+~p_jZuUZCf)<(h8NwW6wA{*wwM*0X9~6#FE+ns+ZCoffINR7!0F zEb5STf76FI%v*OXs?|tt7LmhTw@Ax2p<1^urdTliTkBj_=4TX*a-Dw*oKSn-CX;m& zPeoAHE^27!pEI11d`Q)4T(KktvN+``DB*O;(pdTqs<=ORID}q0Tx(-N zEf`gJ3TAYaBogI31{Z)G`Q^x17$_2N*+y&)SIZekT%aN->{sj@u3@2jgq04UcP^&3 z=y@ToIq|Kn3**CVus{hG3-}c-WDV!rsSX=GHRXn|!>mUdp0HDNzL1d-4JuDL34!d+ z$i}p3pv9aB$}qn4`wps@(v+_XY)^s*JLC$FRmkhOXjgJNM{=Ci4n~2=>F6lW#Y&rU zOCy&kwi&WH02d5XQDp|gf)5oSS4EZiBv*rN+PR8zZg|1vH!(5fq?A#q*HUEKYD2F3 zawyc&Wz#mhMFpz?Xx72z2;B{-^Mg6}BsUv=D6H(^8#teUt6oXnyrUM3PfeU5jHFAm zlA5%%G~wZa$Rj}6T1jQ-vCB8;Afwx~9G4fMD)|NQaHgNeJAq^FAvOk#N4W^D1$DZC zHL$3r-NSbH?0m3?RR{3GW&4hj;)wqAA6RE$mXeD#yC;A>=j+?^&H)C&H(=_hA*5~$ z!ZPBwQp1rdbVeUv-0>QFkJC>yE1w~6^d`A;E5)*6e2~O8BAFzmnTkSTsa7kUeyJ?s`(S0=CY<8`%b=2^Cyvb2fNvg!{mt2%L= ziN*(SdBiIX`tl)<(nz*#QnokIVER-af7ap()@0ZcUad`q?bsD`(f{4X5FND9Oe`v3 z^r44)lTJ;B3d#1=oCJY`QomaOIk)+k>cRkfWFc|;bJ%*Zbtm9GD;`DgMS>V|b&}jk z1>RTINrg`r=*M2-z`*FV0FxnMf?R_io=__Rd{ps2@b;)>IYXTW+z;qz_iblx5hsrd zueU@OZ1H}5@c=Q5Lj-qhZpUpUU6KLCOe!(n(4Tg97x%`>E&SBU20oc(p* z2gptyGJH8@_$D@AV*(`I(oWUI-egb1JK?PpDs)zY7;1lXXh818&;?xb!b(Ooy%ej0 zneX;*Pfve8bvhhkpma2Z6s>#=fpzllJ(EKhOeCdn2cuh4Ci-oWbfLb8rJ8FJdCF)3Cn*<7JqC7W3X%UW{d| zjaWTQUcI;zqr|^<#J^Bzm#lcGTY+=3t zhtcdmcOpW(#e#p9`lB32M zQlE0$(R^s$LzBROow4JuipWFhLW4}BSrecfgYro26#O!*@2X3SSXc)yY zQJB4nNuazsZZLDESHkd-acUn|ctYton%9Yo*=4(TQPO!kfGZ zXsZKE{1MysAStQajz|vYNrn>f2kKckdc0yeOP(||e77Kx|1tmE-F}u6z3PtbFI=oa zNii=9@U?1edI#Gs>|eZbVXqYfhD1F*Xd)3j!@b^qdIW;mE39w(={*(~sSkBB8^||! zgdXjYJm$e+!paZmFP8>0T(Q(e%>`==f_8#qc7-Pjk#ZIWScME)WpIiN zG&S}}LuI_0i1aTI7tzu2QE~-^Q*;tuwL7xm#{3?>5JE7#6h)Xb%yfWYHY;IsH3^_1 z^^aN$HXO(E%8UyU!mLLx7kwg(^JvzpGDc}i$rw;#5_GYD+G6&-V_^83m1A&0n;7`x*KkB!MJ-vtn&o}-b_%D$c*BOLQ z=$!Z;;rusT9$!GbkA!}nL5ztokLufg9ek5st2>@(yfA#I=m?uTQm z`r?cvG?pevG)Pc; zH5lWztvb}0f=>HJ9EccFoob5*M&4B~j24kQ%;`iwfAD4jVo!R>;3r3H6Tg2H42Q5J z^Edt!3ydzP#-$3b{kXby^(=i(ffKYMF8Y@0-cx;H)A*1P5&PRGNFYV^X`1uufgcPY z4LoDWp&O`Jg1;^GLz8TO41gxh0dU4ELCB2N_Jd9;r@ggyc@#u`>dU8fSN4?j09#LY zt@Jzse9Z&_-9RhYtbTO+yb!tH{=%et!sMNpYJow9TeuS62I|{{6iAku5iMUweG6G4 z1HQqzxK2@vLWVQmu}J-i{7lyF5M*FR-{{gc!;EAWtfL!#{=vcE~PoAnG)l=H)mc9ifML9>2K3eGU1JhXLH6l3w318!AP70Q6 z0R@5G{~}#=^tIINn=)MbI=oPOKwwTeF~7yf6!JPlk4_1Wx{?1yrK8~9@N6r*UWHW6 z2Cv#<#Yb&ocVDD#I=gKse=-x6VfxC!3LK(saTL?JDJFb<-tRLyReWOz6LbdKnc3nL zri8MhiE?Y9?5HGO;`bM>6_}0o<{G!CfeHs4(%i}2D?FgHUoLPWESQO=I#sNCW@St z`kOHOBlIQ*K>fY_eg94U-3p_QX#Y|3eQ}*sJ1t+65tNxgs>}u@cc)_~R)NIqCrRFeatDgK%O05yjUjB!oZtxov8tfIl4Qj6 zp?q3z_fI8YO2}D~R1D^1+ZB_Doty=5=^yd6ShFN*zD7VI(Z5G(#|Eiag^a6`1t&DD zO1lDKGDED1p(=tQ%m9}dOkl?O4Sy)r9%#%=fbFp*V`0on$eWH#k1Z?W!OYG67@W>M zV9dCsgK4%}>u^e~-TH7kNeB8aZSE%nsgQ-42TGW_yN{1UVD#Fl^GMB&AuI;)+#+5<^1G%Z|e>t71BT0&S&4j#@!) zU5J63>f}ApNj$!$g2-3p8IKD<&d9Z1QIjeS9d5FyYEKs)?<3GLdvmyAMNeBSEE1Dm zHm1Z8G)n^I#13T!MWzxand;B9L{3WToRc6VOa4-l2rl}%S`4WSKwycrl12?{LVJYd zT5y1TY~C&18Hix=nm-rl3#I>t$Qh{l;=5EARTW3wjnCE;iA_ZLC&C0^E-|+nn`4>U ztm<{AsP;&nW68w-T+_YY>@J8x+!)W8e@CF^VP^qDxFS6t`Kdb`VtZsg=8s!@d*@Lk zzxQDMZ_rli!a#d`N8rg^N6CFU$j!;?<8czq<|$j*Cfvk4bZlVd!SJ)bg=L7j)Q+FT zT2dJI_hTBfz-qRsyo?usGnjV&l!^CzlrSS`@d$)3>1$hG;@Rc2lU@k|p&Yod`a}+BrH3m*x{9ew$F7idGUnqxR&M zh=gV#(yi`mpE{ABo<_csSZSzlRrq1kVok8Ig6BcVt^TAj`GYoPrx-VR8r0nm= zr^7|L6QSF-@BJ|ejV>C2ET@{5)M2h&l+Sh;*NU&OoO#{_nl)jT>8NJ8C#tYCU-hSUc}NtTdojI0OK&RAEM)GKM`AO2 z-7uiI^{HnV$h@H%CY?I6)BsrzC4;^3BLQyK7XLS-$pc7GL&3&+&or~?1IVk#YqKYK zRb6q^r4aGYx!$LmMj7n=A2>8MhLT7Nzh}qO7)WW6;cdU4BB_jfej6WRLZD2tA~iBs zV1D?bV(T_F^>6KG>k*|tMw(>gYDJ=Kv>yO=a7Jw44_~eV_|u`5%8y)@F)~-s0Vxr!iw)FFE6%%&YN%hY|15?vD7e=WXLzo+WQ1?k15B`pF+;t z`o8c-g?d{noOD_6KTgi%-S5m7>I(uoASBn;2JDxe1sK2#1XKuX)fxyLrk1QLoa+JA zRVy4dA0BYNJ{@v{<$1TD-I%_QRuVJAx`a12a@#^Pm1ugP7@_VMP>m)R|Au7D9N@!yV%+J;kmbh+ecsIGZDA>6+Iie zWlec*gyF{UuldAjAgQ9(c|!xZIA+p0MhVS|G1;f^E6UrrDDlKNc~uf!{+98?uGKBO z-#WcQMn{perEJ18jR9{PZ2q%;z==~^H+qwfr_Kaft-Qe3-OF`qsqSK{p%u(#Npl`Ot%R~Y ze1D-UYsR3KAXdiNR@N;kk)UJ=fH%JNn)da6Xau3$FNIP47dfG&nzV!>|M84a7~T4^ zGf6b#^?C5vL17u0MPmnRx*ULBw%I4C*o#K?19l!IU{x|L3?9^L37d3o-6bKVXuD=1v~3acL4QkgKe zO#`yxhbzM)43a&nl$RWB*r!}E2%(AA!F3>NA)J`r5Gd&-WSwD|BOE{|5$vqL4k3#x ziObb9ID`YV=o1S9cVVKg4k25W4Z<3o1hh^?vj&REnNDJCg$`^o?^wmf7Y6F5Lv<}8 zJ6OU`Ke2V~oz|UCVw{m?7>~)kK5S}C`Td=ExtbL!Ow>%((u0}6k`+W!p7!ZJlB^1e z!ce?Dh0{865c%&xxOTvRA<4{%Xl>nHy6IYIwx&;|>K@vT$y|KUFmYibV+o$nw1a#4 z=@|3}nFb1CL63J242rNfzNtc;efRtMin)A!jYrm^k@%mD>_iosC8BPF^4^DzgPR!z z@3#ecVY_*T<#W?nw>`23Oks&}Q=}gb2YQ?BWcy+e2me}504iXb>(*jTq&62k$Q_H| z<$KgJPLS668Ubb`aqbScF9vbhaea{hz&qxh?Cx_AsE9q z=}w!0uGr-^4{5{mr}hio^bGkx#wxY#N&yj4%Yay?0^Q1gJG`zloHn*@YEAGX~*1QwkR-J%?VIHf_g5mwE3-SG|OQ#Xl zJBpfBEgjD+TA#f&W?jYy*T4&QM6~;O{GbmXHwHwZh=Or0*+vX|lV?R&S3X?TX9dW& z94i%gI~8rbvMuo?r+dXFMAM*;GO?utG1o9kI_C12Y-F2GB&aHxgOZ`j$zm$>k!>TcF58?eMixjs(1YDx!@qh{UACELx2O0Y?SQePRC`o71 z2BLb;DFL1xBzzkT)imjQaw;3Y!bsBiT2*Pb+=QRu1U#IMr(v0e*?6d;Ml2*sZ;5>C zjGX{%AceSSd|FW2(V4JgkB5^9nqR87v#dSk@(TOeUT2#U9(B}{qRs}vKvQXjQ)et` znh+^KN`5f5eaE1>`rAZ0pI?!60Ud1a<-Dnff!i3l(cnlkU%xt|w>_*Ze3L#1X#;+$ zg5r0XebRcB;sBD_S(Pf+sSOh!+@HuIgh9Y@QH2wPu>={vGk%Y5C*dMi+(-ylAJ;U-O&2hg;HFb44^) zg&RaIJ9ql*!*tRv%%<){=c4!y@0!cZ+)m$? z;jBo0q)=qyqN{c-Wf`(L&zR{eBLnF2MMoXq&LuC_vj=@JG=eR1WJFzv+tQY(GVmDj z_b!k1=Qw!AxZ2_825)I0N$ws@=g0U2IN^ij^xr17ka8 z(()QFR{SA)sQ2m@951(@HXOEVcoJl!3UluueIX!Q0!5+3>WfT+)+jI5{8P)sl_b&$r zPft&CRks%Sx>?>IhX<*tB@O2vgiW!j zzsolDLLC`5>^?khG}c7=F4*rlRnRWTxIoE!m4DN20{94d%-u=~QEW70`E3gf@yX5+@3Na*Y@0Y08%?tov)NIuv zZRMBK0*gERm6)Ny1Kp1cP+jm^XKUDG!~Hk+NZcL)sH%!*RcxNieI9zZJnaAWKsY_! zJ(J3#I&%R@_%(jJeEH{ca#iU3C5`xX`{*nsO%ufzS8p%yFm>$L7ojHp%V~i#) zvcV~siXA^HwSiP*KgcSxYwHYBK)X7PUD;J5s>Iw)c9Lw2NYJ@mkU%*k4zmw5C_)-| z&WTN0ah%^t2B6y{zi=x^=t3N_S48H%aGY;Z`<9ZjucLc+M=f(ilmiCO52E8<{vf|H z9jH>M-Fb%q!vFPKvi(g5gwJn+LN(nCf%8^DJxYjR<)G>;RlZTii-2+7J%o=&6tJKB}hDM;g6ULOR*w)ey{Hu*7pDp~SDNI;ZBc zrZ9ZuCSuC491ydW&m%`7GxP4yJBVAGcNJ$IweYloO=q{jSq5a4{Y+BC$e!{Esbuvx zkEk3O+pFawK&^&X8Dx8E>1h}=7)Y45l_F~5)T~;Oz$fx)iU|NK#2gE;$W^qs#foKt zh2(&pFOxo{Jjpb8rdmlBY?X9t34dgnU)`sm|ysv@GWC!HOoy}{xI+}9o*h!u>tCEcN-q zmS{KEefoDYxu=AnYkKWjd1b9uxos0x*gFSt`0H*nF18%7ZlK!=(+`5+H=}o1kMtv;yb>b#=hxTdT;C;su%_a~d^sfWD zG&WQ|iN3#}GvT?XS;yf1Ui_3s4i>(3$`fOKB}NsUzB>oLW-PAeF=sv0ueFHhcb8M~ z9;oAABmh8DmyS4x%#tJ%E5-YBvm%|u526|Apui-Uh57XWpOmnZojZEo?UN_+Kg%86 zKN)tnw%es+!DwZ{BW9jnjQ)aF!?1MCrKoL9qDP~a%^rikvo8YYRs9wH@C*At@0JC3 znFiP8vnz72=AoV3l9i7U?S&LV^Gcv2Fq(u3R}TPKzu>Ln35Y{$wB_uwxG4mS1k$M! zxcnAgXQ2kM{51ChLM==FtSHtw8ha?-Nh?_#D5}HRd-E0+nH@C~?PxOJ%KTzIyPdKrd#3`p4abuH_y^;K$)!_p`LN zX}JT?xZuYc_R1)uX*hnI^Ga2<2FS`gxZ=8O6FJ&01O{h}U_rFel`0>HmY?F`!bjiG z3klMrQaVE6!G~{|n!7-VTA}_v^OlhHJHc^uB{#q-3`i-Jvt@{DyjG@;Jt&$e^~FUI ziO$nFX0sAia7L?eB9HRwV7UpP!Dudo_Mzt{U;gYaKCjH56J5O?$c0b)W<{~Hh^ zhHciq^+FArpSk{r4mLTcwz2EK6|K+)NCR4E5wJu8Fz7S80nfLO9s1kfo@%X~MXD-; zQ(ATmQhbtT1MH)|vQzDtx=GbKVuCl~3Z8B)u(%Kt+e7D#f}3I1ZM2(^$b$u9;Q%Ie z-)l3H5Bd(B*UQNHrR&|igQ6$->*s~r2uJ|9 z;%DJJ@W#KYlDu`uWhaWw5Vbs#7|>09jb&{be0W{vTmOkSw2fQ;c~A0Pyj6r#Of)Uk zsJ$#MtNM5IO1;Vr=UjhkySApxfLS?jx<||MbWVj62&=5#A+Ee@LA}YA-X&iQMx`h$ z8*KLHGEe5mr3JN0Pc;zs-2KzfS2e-Qqy5@0RyW>X&noLA?9DoYkYVCQ9_d1-D&09Ptcg@9oHrYhiC z6bW-@h@b=6UDAfmUmZ)+%iSH(2T5y&lYCfI$r}{^j=R7q15FaJwaYb=tfON?;Iqz+bAyXrc1lv=54NSdb6!&Epxzb&eG z|6Zjaf-f`48UIiMjL{VB`JNo@O0c*VrsE{~$BMDgc&H5-gT^j?p4hfytA35h!@8bq z-T&=hM&f?|d~P#;5B+61liWkIeO?qf12_p4t<2wO-72p33O5wrgCv|PoT4=AMxiax zI7H_(s~g{k4yk)vZefmAC78e-0j82Ltr@zB3zI7V+K_YrkP$Qyb9nTa0V=8FZAd9| zJI5V4ZAfvA(n>@ss0qY4J`0bh@M?*c!EghAij1V&Ko`TD@i|vm6J`$yQ1RSN+C0e6 zBb3F|)VI(ybp?t!7k#&whVs}aT8o`+9!U}qJP;sdRAog@R6RzzB@z0ZHV#a-c=&Vh zt2|Z*qzCE&^GS$_(_fM~pwFJ3nqu+zg)2%3P5Ba0Gy(Z`a&K@iE)r;(C;6eWjU<#f zS|Ry{GB`tmrt!ff?yKND5k~w4(UvnOdZvn*j{A~X6Ziubv4)Mxh?2Y^a|8nx*TBiY ziHus>6l#)3XN<+^j?QoeRj)XxV&{1*Lrb)_e`l8f0xJaNL@~?4B@w7VK}yiy28)l9 z8Q)zv)%m|=<>%8|^mEBC-szwhNjGDj(7@ReucpiZN4?YeK@Q_m^HgmuK_}UXQekCs zHd_;<2iE{Am@>rA!|7mN7tb)kkCkEJAtLjTbrWM{M2xqI9aj~XztFwbAU}BhSCE)` ze-Zoz2>(KY!+AS*g;BZ7bS(IC$m_{oZ#+}XCtOJC36fkC zAT@d4R35R$@LHV%vCEao{9^?00UgcrI8#m3c|hEk4@B_ zKw>bYcf!m)9054U6edP6##Kk=RQqGz(7_P)6sdKR*JQy4matXcp^Zxir`QUCy#JBW z0lwgp4>DDxErVDm1t=?4K~=p+KcE@|5H++gtn`vC!ilVmHYwm>vd(X5szd1*02$DD zjsc!}s^{cZUpw-U#$Y?MMtR87LL2#ckxSohgLwBlV;@?fqyw)&c_{eOg@s+H79;4GPq7fyC5%*0?sE?IDct5ID% zFe=2=-9TTz`=i}I6KQ@htN7ztKBAVN2J&xVE?O7- z2VEfI;g|ZtiD7@Nt%WdBkV-#p&yR`2x8_elQdbrp*}%>sDESJkCIKx3(r%5KRR6dN zP`02)re2#>g$}gno6m%|X_Esn>YGiJwDH9YKi5!eV;}6O(y5>R@faR}V#XNdLrsif z;YAM&aYEI1hCJv6_cHeK2`9>;Wh@%9n8bcoeK7U)kHKOwc71zNg3FTEJ*+LM`;7q2 z^9%vnw>|gG`kPe(HFumqwRg*I&Zp+6?q!Sty%je&%w z@)Nn>G%moSbtLPx915#IRxvr|mOM$r=TdW@y<5y1rmzev{}I2zhl%Ayp9 zk?IZ#Mig-MtgXY?dgxBN=f8&i-n0J!t0yI%+D5(1U0Z3yOUi)vB6XjQ_+@X+_mN(G z-?f#vOA1eShu2iS=e{7(z3nvN1?BfcOkaH4mMDV;>pPmB^%Npy1_AKvmxC1t^bX@C z@l}_T6MPOK0DJLJ_&NjZH-9B_4f=lzxU&VKDA--v%~joe+pu=YaHJ107`tH7OK`h_my#ZWFB#13T~v>3N?(yAtXc+FE@ zHAqwjd4{wp-NYR}4@^`0B~{u=6(%)(uQVlB3j~_|f~CdKvNT|~bb<9;LtAXJ%v*v| z>VZfVMC%>q+t%352Hdo4Ec*2MC9Y<!Adu^%QNA=uF)D$QE9g0fhvr29TD# zF_`@Og4xH6&8e}v%VHxPT(z4+p$BC7HA*fJ;%Cl+D*Uuru@zyn;`?*|yun}}oD9Ii z+L|29l>_#V%M{8l(nqc}6L{%{pH;2?lUR=5Mf6T|hA;y0r9e4#kqV#a71KLx8TXso z64ndUHu!@^j!HmbK9#}H;oa#+(0wGv9Iqv=xeh7K#t}Kd$-4G|98+K)K5=ylsZJ6) zpo>aGtp=fUgf4V+nqlU+gA(jB4iOL$zIJsMq@lV*^c_^GFU)C+fQ~njM{?L(nzy3r zucwac^mvWF_?ixnZFYPq#E$b4tP6dCH^RWHAY(HGc-U|SnJK}Mn{P^egE++{;JqYU z%Hvi0$*x9OPJl&oBbZo+GX~{zGP*Gqb%tcvJq8TrWo6^W=iUP{{JJmj8U?T@8waJK zzQ+AR#dR`bRl146J32oZ4f8iS<}YH@2aoLL66y`~?u_-1=3o*J;}8sbH~8mSjoe>_ zG{yY%r1_1@{3vt%)=GEjYg zt^Q}?tQ(Xc2(G82yh1cN5&}pVh$&^{9=@5p?lMQ_?*}`v1*OJ3?i&X*zc}HDOS6w7 zmC&EY>{k2XpQ>0ZM`RasM z$Ch7j$lN&x9M4%v8gyk*$F51RU*Rc0$4K81X*5UI#(B|EyqoIGj2>_dWaAP{T}6lv zeLMRw!#_62Xu55pGvJXKgd)mb{b>_EwNbhY4EJMcRBT*Ev(=pt)n1{XSV!@iVwyXO z8?Lb1nmp99r~Y)sDkN(jA|d`vr%UKhNQ0N?kSXpO8*3ay@Z~KOpqu_jtH{*Z#}pTy zI9z8Wr&vKj2z8u8PzT_xr=^vn+0vxi8cT7(qS<19kA^t_=wUgioB21$kNR!p7zzZ3 zvsmaiJ6#Gm1*kTQv!z=sW#ro_nu_2i%5m+jGB6VD%suD%$}UYbmbctH1|OVGn}>Io zh5Go)d`vXvx0oS($y({MUSEd)0f&8jRaqvIe+r<#m0E4dw*Y}igjZ0{h0O_b9xt!5 z?)OAmBmZ;@srhnr16PMicGe4tcaoPh1^23SXD|EU8>7Kn>?ITziR;GX?WU570Bq4r zkFXYXn~bq`9zM;SWxadns@e?7;)jPM@u}dgIdX~%W(d5{>GP08i=Xhq`$?gowVNNim*`n4?0aa;W78Zgaey@kpd%e1MW}P@$D9wduJDaaK&C(f{J?ons`8-gez-Oxw0?+nTm*+jh0>YIEAQ&1u`VH9c+j>EHM5 zWbd8qos)C^sl1h1l}ajEsao%IUH2VE#d8D^7@J|lV6E-#C9YuS{Ie}=!5E^kpRZ}j z`ixr_SV1TWdI%vLjZO-9R1A?aJxOOwd@M>G4U}UF&Zs6>A8&QxH&>93hcA7UD|u8R zb5OlHQSV`9rUkH9EpuipKh0|IOe-}~hORqm?@Y=uYly>UTphd2XU%8hg~`k|VXIO} zg8>~C$P~Ro;*FKv{YkX)Lcmep`j42}6sthN88nHhb%EN%sBM60j^N8k*|h%9imzY0 z4K8Py$*eqq7ba|zruSI7>HNr{6H`SK>FXG`ALi+W#L?KORB?#bvWHp3Cdyg)#`AMT zb=b0#O!u4M9F(F{StyolP<}{tvJe>S_v15!%~Cmn^F+78v&I*NVY!Lg0;J7SQ=^Vt zd;fX9&1e0B3`pcCCoOQ~Iy1f?jXTU;ebA@Sn{J{Kgxs*%*gQk3i22ElPqLpvfG8*3 zBLHvgvql4?cU=rAJTgKBu0w?e+tu5ZoGJzOpw(>FpUaNdYr}csf^(GI{3|6;CvY*A zqdg6YpT+}aXVfsI*|s{xS=)4-qMn+{{g?;!_m*Vs2dqA`(tBJ^-j8v+zrs4u3>Mcn z&tC+jWgWO7`h}rattv;-EhfqR(#Y9#y_}O%>X$L`+=FQ`y|xTmY1*9o@am^=JcDDH zBuMHLk86Y01@i7+kBw*tMvE(d-=uU?b+g)?C9aUkO8!;aDtZm0Kbap)yS1OhRcFhA zqq3mS56JOU-QCZwYJ-y|(N9f_Oye)t^Y}{SLEp7S;8JBE1DWPv0S@FQ7d`kd>-A6V z$!f%mr0knpX7phXCxh1rBD(!#N&_j$ZxEz5RHv|9h$_CAkZjaI@OABOL z$Vdu#I4QwMxdH9EkqNLj_dHv0)`2sQt7me-1gMb)*~hUQJP1G>Y9!3JX)>YB!LsX4 z$vRjqC*P}oowTCwuEJQg;nVrEw?0!gi{q>km)vO+I>cw_Q`j#TO*_Bw+M%M8f>RH6 z4rRmDNG*X~v4a(XVyS#!m}e|XZn@xJGRc)DDyR%p<=wTwXyTl-^x+zjm8ICnQ=l)d z1KzYGu{nVY#?M+-ZS7Y)^st4kqBku17~z=yoL1)W3Vmy&!6FeW+xbz zMf>G%u*zP38Tk*dEUb)tzrw_lF`VXZ0OE1lML9%EK zDc<6aj()ke)+{0XYH192uq=!^t&$<_(4m%kBDD}v!)}WpNPjhZ%WmS9aR3sB_D=YP z0~6bh%`x}jqRQh?mxL=eRBqFxeJQN-xI|@YZuHGYd`?BG`S783R2f_hZOf8}3c)P2 zkD~Tuurs^Q>oD;JHd!3l-Es=_kF zJp-aeScGtfD$K&`OFiKeM0J zMToxgxxHb){q9`RCL9SM$d3SX!2Yv~Ny~(tIjW zm~grQZR?(Mxh}Xg8lC%{Vg8VfxY_`Edm@(m3E|k)iN#Km%!Xs7?zOtui-7W}C3*EY zq|G-CEc$1d37xy0dz^6tqZ}?7U@Ke(VaEex=sIvCshk@(=pk4k{S zi_9jYQFZ>HK>Wa9*tdDH@YnyfM>r<=I$<$!W9^0-fc!n0czP}+0(CkelovwKez?^Xasv|J-K9aNWBDU{iNV{AjhX z&EAH_DYIa>GRt*g?E#<3zyLC9x3U|NO&-c|b3Q`0GzwQ|zE?}J{b?+Cy;GB)@2(hB zMO9gat+jhhIf^4UT`Wqnp-$WEcxMzwZ2E>m{A5zD@W+TLMz$}OqULje7l8#X2{-s( zcwp^$MoiG@X~SaiJk{z8z|?a8nz8GEsF0{8Sf$PDU8#(=Xt$hUIbK*|P_>cUh(qc1 zTU|?-v(zS~JkxL%|NN(Xl5Q|YB;{i*?Ny5lU!uB)|Mr@4{Mj;Q6*T-y=(Dywj%m*z zBvRZ{ZBKWJxNBDKq{7F9!~;*!6C9mo9yXmD+nmH`Rc-cn{(eHhcd>3*kw=C};aW21 zB4QMRC~{|%653u&K*1^+sgokwh6(dq|Gb%Ilzf$#X3~ot*V;QrX2S|Xl$nXG3M138 zZ+Pyxk%C`YCU|0zwQ~c>8rJP1w1g6ZXAsFcQNfWpU;2Wn@t0SKQhI!5UqrJBRSZ(8 zh@tJ_2QO6&*x4^7z`h(P`6HLyU4yF{O`@Ds2xO@9v)2?f*>c3`r zsDoxyv^jwR-&p37?qN9dsIUJrNElAwa#l|6iUEz>y>Pt@nz5Vpc3_%v1o_+@-dwARo4g=An@jU=P7!sVx68?Fb{R0p%$kEm1^ zxeT~7?9}@&w?s1Mzuc0wZ=CGIK)6YMMqmDHcTYcQ@*ozF!WP*b(gN|6sp4IhcYh)C zAF}5KbHb3A_zxwEbHFm01+RNh>qKRlXJ$foCzMnL*S&nyAd4g)`Qk&MsD)@6KP7=w z{Gcy)=VL#!_T_zK4Q3g=MNDSQB0EDJ$`P(6*$F2hT^Ed>1AMs7Nn9h!EGp3Iui55t&2BEe4E#NO=aP&-A zB;s;H(S9Ls#&f#pu)?<5zr+|Dt0d5F4eBz9s9ZY?S*W^`eSLqlQ~X`38~dM8+GRp> zVtIvN;$yi#NyM}KzX>+94eBw?;3^gMCwcY{<=&hIWAc=YUj0abM* z{hOJ+##}f_PZBFgh?^hhaaE-C;Z>z3EXq@@ZKg#VOt}VmuN&`t$^QW(Ct1n*9a4Z; z>5`dH;f{GWL}D}vfW)E$UPu&Kk{JHxU;*$^MRZSVbm`U==l3q7Fcna^U_uc6G8Tc{ zS5?c<#c^tofg0kgKv!w2%xQ3!b?)jU`|RKgoQC6U5L6W zk~~P6vI!wU%4(G+toG!(6h_T)kqd9G1rHhw)Zad+aBgVE&k^rU&ar%V6z0ASf`c+; zybw5XkbFnA!QJ)Nzp$%H?#@hEkOVa+!yu#(3Z zPn<8-x`NcuoDd2r-Yd6qQ-$>;!Ko}HK$9_=+SeyK98%KU76K>MbK3O%V^uNYV+a+l z-_DO9(L|dxX-ykEXG`Pm;)vxlE&E_Jllv)q%gLE9M{PxRwLUy&PXJI*C_cHs9wK4Zu z^Qd09%blK$l~;?HTmt{g0GP;bKZ}Sb-*L~u;soHM-{uU9mZCK{Z}VvkviSO6KBV6N zE<{REXTq)!K@>Rd-G6k(*Y140ey%hQm~o{m{J`L}Y44_bAj?45AbMkGztDG8>Tt&X z*-t2ZwzfrMi$n*&tX+U`BCR=UaKsfuibVdVkN;bRO#Z*9kjgeNoHi-`&r0Rc|Gcrq z9I15GZLMm7X<^axtYd#IT6x2)J7UWH4Hx5U5B}M#ugCsL5iM+qaBN$L~7M4f5Uy$2U@Giyxbx=+;39_q(MHfKw46LDc z3e&y05cW7RA#ZMwTnUtvQftjPse!_?)Ax=^K`H2;loxq;k-?O;7`Z}`{L(k09L&M< z*>tq3U$_#)z{C<0{A6%3ez3W8y7+?|F$o^ZD9x|h)CeHC ztvzvTBo}{v(7#6VDzcFynm-W_F+&^Vo0T3BqHefogViAxi%CS2;>NB;qFHo(Xy-IJ zj+7ij-AjpS!>P#>|6#(J0Nrn3Qz!HDW3B%dJvp0HY0D4d`Q@rtB%ot-ox9r3T zd_(MC>o|vGn7?pi2{PlBrY7b~XXsEKTAe$3frtgOJDdp`!GVWXo?OMgl;lkx^ zzGrx^zdp^xH={{ZZM)vWsr3yq->=dxT$n6?F%jdBXh=I<{JUArY=)%LA4~?}Q2_^% z9a%Px)P9TS6d`x$%hp-Fd)>yiGzKo}UMV9CZ2F!qsuli%ReKO&y`k0Ht^^>bP>!=v z_~PXplMZ#ZPK}%-_GTJHJCvm~o4Y9o*Oj+UIq$n!S;>?RpHHC{B5)>klK7=&J=MDr zZf=kCq=1_1NO?wd$P&67Rxpp%AeLY7Xhjv`_Cd@)&;Hxa?9w4+XoGNWHFifGsJGe2 zYC|Ci@JX}3Qo|?}ta)J3cmkCEc`5JB{+pW2%_AsmgD(alIBg2zVsusSt9gj&rV#`;M?9>brD4qwpS$y-_gWIwZg1^}6bB(O z4zrYAc%o&&!G)nFhgKLgN84y!#D{TE1I6RSH$YJS!b=tvb2Bi&BBo<4(IVGwN*S}C z0HqBlT!k-pgv4bVfd{|=9@XXB+$B)0!--d6%PO)xz=^vwOwzhq;iHICip~k&b@NIL zd+lj29Cu;qV(5jDq>{!KK=CC0=F-b~F89zvW11pI#p%u`0S7O-{~>prT|xEO%cr5) zjR!&MEeg(r(k*G2U88>qkkBx6#f~|JhYv(vO{R(gnyKLUO9Nc+TsYhbMU3uQteQ$> zSUA6vp0YM1O2v_uPLBq-)*gxtvN{8eriXEOz(poTiN4n`ue|xD9{DrVkGZ7!wHfg3 z1Ajvcu*KR)hHoXli$5y}YsMckzE8v*GM=sIjTxk8N?0Y^FEXYIpD&37+6~Z}$;FuL zN`A~g$B{ln18yQoA7mC_;}#iqU>n|ezjYt zijj*088+oU!GcC>HAbe6W@PHCk2yh8Z`HxpWs||E!HSr!M>MS}ag4_?n+8AW*B+PI zCc_?;nr!I=Rje#UfYDh%!UKaI4mT%05D&s>L=W7-G>AlZydly;BEY5DsWXJsr>@hI z&u1OY9H35_(yH;OA1*)~8^-_>^k~&(>IKUw?~bVtwt(aHn@-o5qX|(^d3w})M&vur zuxCUv*5X2|jQZ$QFQR^4K9;Lg@mRm%>+g2?zttYPWVb`t@mvL7c7odI&~*Xs94Neb zc{ejRY^E{a?wF6t-E2J5BYRjSRdu^V8Aj^k?-PCr_i-5I-Fx>qGiP7)Ng|mGl%PWm z#Pqv<7LixST1v{`AIc)mB*UiS5vL{JjILeLS;TgyajuJK|F&&GsUN@7k4f>hnBUsdj`+h+w$?>@k~l zKnOfgPD!C@!9v|W4IVO65G-tPzEO+W_VD6EPA&d zD%j&Jn-6y2_1_4wNgY$YG9N~v+C4flfRqd+455x$N?y=*kj|PzfnBDu4Gj^7Qbx|B zu06Pj203t{nG&9|`7S&}Ny7z8&vqF%bZ*M9X_0!6%Qmcc>?*RJX?_geh9+loS(SAM zCTuIgQX4OGwVGahVQTKaB-;F11sg>sYY-)?LcWg7sv2!#tfU#^L&a}EYpmHEaE?No z5pp|5_72T4rkU5!fyebP?}(>OvlQBF+Svt*vhy5{t^beJ1;cVx5sK9OGRQdeLcx7c zK$_AaILbvC5tX)k+`efB6@*SNt)a6v%9S~h<@wru3x!NP%cgoM9HoPmFO| z8O({I%=3n$I9}pCWTOIiK~iZ5z{gBzA^1;B(o7R22b7jtP70% zeA)Idf4(G_d|*T@sM$`zVDMqNWWF!|1(c-~qmXf}{Ja@K`nCMJaEhJ?*CUl-I~< zlKn~3it2T{1{P{$!FI6*>4h7-(fcG(lXy6%F%-L`z6`VNxIQl7U`uv0 zai4uUND6=1Dgo`Z=8Dt$qM}y=$I1^(1y$KM-0$C!D~HCHi7O4<50H;016DKMU2g5H zrBP@-X-Npwg1`homHp7SO%HaaC)myLht|+*@sgvkLH}F~wWd}Hm6wOcc%@}Jh3Cg0 z-+L3XgXZ{#G&-l9?usQ_>8n*QX?}ysdpCznE6Y{)-p|oQOZnwbj;xlR53mEq`rmVp zoiy%izppU0KKYvs+&`1leylTY8>ltcT(jQ^EkAg|HQP)8D!0@jwaO7A`F9ST&#V@U zHvU#{RbO~(AB1&Nz8}TXBeq)?JtOkk*m{{vh)=&ZeSq2M)$YroD zV*5Lu&&$B~(O2>a67d!QxXvHZXY!(z%pen|)t5@ZShjc({%pdqv9)bv)to=iZ%(|m z)c7B2V4YHnzZ~tXI=UPd#cDw8@045=T!j4L7wR4va==IyN=hFmHjqZyiEO^=RD^6s zlPg?*_;d)L+|+^nwz}1BN@;2D0VDaPuYf|_JYvFlD*Oi7pDKy z)Q`Xsuo=J6l=LHTueod+X-4@9R6a^FeH2QM$ut()63L!~Qi1Ik{nOIV5TfQ1bJb3! zFd}RyVG*3AG1MvHF@85;9%*o#klg8QtioBv)}qayDu3Mj7bT$F=5VbIR67G$>3+kS zKq-rUgji!b(&F+l4?GGvP*r|^jyh?E$q?Qd0RBOuLa(m|_85z@+*|Zd?)SP|@kWA@Mau%~XqgeH0kk2<2kvp7}Q6yPfC z?`F?9rw%aZuLBe1S%hwgt3!@!f*j=e=Xz!+cnacf=N_Y^TJgSqnXai(w~$UqejZ2_ zDwz;BHw~U$+MOpI6#7$tJ=jA!%cgX;Tj0)a*tnTM&X7W<}d5?O#b&Yi;3) zCD^;Sr1ZwIYr+LbXq4Y3|J^>$vks?@YgxQiy;hDd_^E=h23J- z)!UwPPy1sY|6g>x;BqQG0{rpFvdkB>CN_}XV^5JrE(J8z+ST;z*BY63TY&NoPic?1 zZ%3h#ixWZByG1l(sZ-M>Ix%gYc5@2h#RI%p>r_NXpm$z)YF2(KM;2->kM~7Mpza>8nIjBoHzFmb&kEhS-yN)itSZkpnsXMwM!IFD($ZZ1KNNW^a78 ze>7Uvj+SjESCAgrXDSouR03>~Pd>|3DpGZNc{#Xw8w#a#{0ZvcUEy8pWn=~Q_?xag zoctT(3~IcW{_t>?7WI$O32hzI3CUsP4uP_TGdcHx`ueZaa%KOtBw4#OF-N+tt^2yRsP~=6Th5-9%t~`m|@Xj_Wtz8-|+VcNk6k#Q3qHCMU?HK04<@UgspNGT2Y@LA}W8RxWIZok6YANj0yNPztrPT$^1YHA_5@u}+Sb12cXKG=Q`vNu?tX;9^WYH><=e z*?FAT6ns1wR5_46Yb@@VK-*p0=E7}w)HDjaJ$k;%7qd9>d@uHt@ERR0C7+}^E;6>T zauQ9TjsCq{Lub{r6AZDH_qR)Afb(ElCKh$qV z@H$~r$bOR^=<Hx;^&DVa6g(zfR6 zNRRh;4cW*}!J=uCF0;9SnA^5e%Q?8r{WFGdy|Mk&^<;PMEOL~tob$j;RU@R5SFDRY z#`T#CCrfR*KYm)!k6`@3)rnD-rpd3h^zFc#7H=1x?k;|zKDuMsM(gytyUIV>LO7|n`|G+^`AA3{$EFj z5&(Zck{@e}Yrk&l5&vFpOuSa^7C7NTyrhhgxJ1;*mYB;KnXL<3{byVb|7^C>n?+R@qrhlwq(#$#%kKWFmqv1Mp$>wuE zuQ?KejH277U+CuYhKq`kt{6Gqifz?@*S8-SS{V@j2|M0avVE27 z>7+gBM`y#Qu)OUdC}?K~YDKR1-x)j!7MBYRK4L<7AchVbhb6c>a;|=!u%TO~BZBQ6 z6VIN~0CD_ay14meVyCYd4?o7wK7&eFOWvY`OIfe;+*Cor?HKUXK7Hd0OkBeD`vLse zAL6`fOvW_?D&E1F+A$b9uZV;P+oCcg4 zbj!9?GkBYWRXh$z#LIKW>+T@r4FKP0=g@o(meX4iZ+&p5pSSdB9`EnPk%XY**-+ z^&<&N8uv$N`H6MWZ-jqdyhzB_p36o*eEnW-FDSm{uKA|_W=sG>8wL!m1FarG*&Ddc zlMC_@yhY$)H5a=t3u7UVn}VGC5R`|aLh_;6${ncKD{K!l;m>Q=LF!x+fd+ouf_krW zfBRoXMh)y3SLWas`si`Bc#wFMAIEqUTDmyK5|gI)1AbJ$M%lkq_k!nn^mF*Xu&3wM zXgJ-l`*(LB6fglEHC!_4Uk-oe_eSAfS1}@Kc#dT~Y%2Ivu7$V@+Z5?2JAU{2)9+E;v4kd6HvjZPY4 z@Wp)kk#h!a{7)e-!e56;XH28|z#DmJvu^nX_PduEt}+*~GLAq!G75+vjvgTGzUyK4 zZbTJE@Y$$WQ;|oHVY_?r_%}s>-R5Nesy&;_+P&opX(WkCr23|7NL#B-5cxvSH}(Bx zzff}xBi9qq8*T7hk64%eXN<8p>Z3mCGv625k6hN(zv(tjx~USreGL`hUbefKv--gH zV~i#-*WcUphge|o8=}yB&)SX;E*)Ck*Kv5I?@rqLl(5bTD^*jtZGDSVQ`X21;KX5h zgYxcSO0F*IEId54wh!um*n}0P|HCE({6{Ag+x+h^&BbX#C+F=^ign!v{Y zIZwi6*uSVUI%5aa|9De--v-aWzvKPVbEnr;tC>pkKVy&HombkSj|Rh_1XXEseEr-S zS^4Yl_jRGQck6!5h#K(ce;9=!Ubv>cCFWbv4AL45g+$M!RQ#Pfq3}URfA`(iiR_1( zbusLNPkC-Im5i@afLbH8fCkF{vnv?cvVqQ9r1rY^tC(Ww!VIZ@y0U6@UZ0W?9<$gY zX=ldX18&Lnicry}R6YiCA`och+++<`=icJ*2-^xQzCL?&K?69vJASt|_}_esf`lgF zJGiwN4#Cf_{oj_Rm`$Yo>puo*2*AO|x!WzThVcET43Zv2lnoPVfH*s^8^Lc9vFvVX zt^UjKD4AewaAv{KlV8}*4~cGN{RDt}%}I4T_)3wBIEc-;fUH+zPqy9fOFiJ4k4ay- zw{_oH$*ykI%{x_PyF1%fNVH(|QU(2~en`ZftCyA-i>WBbcgt9pVe;HEKtVb9<5Szw zVQ@+3%Z4N79`z)wlJ}kVvGakf#nngP8iW4u9Mk}TJ7pG8@G`tVYvWE5Z|*9bbCNZv zmAJqF5B0A`=TbIDt-x#5`{Q1?#mKPvQ_6xRO1Q17A>4bPC3b24&q>L!2&(*>_dYOS z4&35TnN)kZnf+}COAiKLz?_us3TxV-f_)gA=cyUWHkLv-&m&o;H>iK%dxSa1ke9Z- zN_Hq`mRVgjtOhBfDzFOhL=Sp8S4Be&E6>e6N9DosPHl4vjy|Q3Dk}~yu{JtR z;SG+_)na`~9;(&swH%)P%Ws_D+zj>eUeLAX8t}WuD-?cQfUEQ;4P%3>X2R|k9MUF2 zBV5U>1g*Dfl04I6tlATQfD9h;eOlBe<%GW zW~e_KH%52(ls==I{)Ti>`&>cq3`IZeXNmO414P?pao9WW9R`kCNA4%TP7GhjBL=;2 zJW{qB;J=^CLyBdDpqpWX zrTg5Abv(R;_%ClSXa=+Evz1Cl;U;*rOpEeEuhe+z?J*yhHqNKgmBqlS_xQYIHtc_& zPmkR9?vLH>1z$HN>sCj9$Dh0o8FfRa);@mit4akti-8-KDB6POPyhnWjc6#B63Rr0 z0CH{wG(u%kEYYE%*Rb)u@?b36C|wO#^dB1g|Nbks0yOHsV=It?Uw5fvMBWPXf}mW< zz6@CglIZ2x+~J~`=#XwV-ZNHdENIIwMK*ADeRM73VXvMmPT&&M1%4**)pqGIy35eC z?Bw}*zYW&Cjx-P%e}_iUU@*;I05T_u@AG6pB3qZ_U``Db&ap8c3%i~ZG>zFqFzr%0 zEh9i<7=vyGSfTgC8<_Lj$=0XPOt)9?19cv(vqC{-V{bqQxB^8D8tI6-kRV+fwjV`2 z|7F0Fgk6YGTA(qYd4+J0f}xd&y453=f)}DYGW(){=*;HR(GA4LDq$6eVY`5Bs0v0!_|c-{8!tP0T%ZC--u|~&)W|I zzKRZYXHD7K73s(N(nBnv5`YNVNF7ex&$y)TI?Ql0Y#$Wv{Wqz&oHINX!E?NRRF7ZI znj*jK=$}PUpY*C@WKS%wl}?~?(~AzfqL$n90zPxb;3%q-Q&xBNzKgG;xw)Ne!(EjEx{cD|^cNw+)>xu*UU-$z-PbP}Jl3p#YkB=N0JJv1p~O zO54zxu*p-xMtUiOL~0rc)(%CQUg(HNhD4HH*viLfNuuF-0bcf*r3IVd;B=H(0bym{ zgTj3{=Ab-q)UvOb;^U);osSw1jn;~iyin0vIJ-{ycdQs6wcB9_S(xi4#m*cq?^)muen z05mH%s(uMt-JC-8m1t-PvK1|g`*QOhNO9WN2~S5VlR{yZAdBzv$z+P~ws$xGGZ{3O zMs8xxiA$1POWRyQ^{(sYT{0OI(93I!gf1N@q6DMx=Tg}=7C>hb!VxXtc1_w)EA3l>kMAU^@MnpN5+b-_Jc$yP_XkX6~WrM)S`%T2Z44z z(RsZjz=eY_LZ%H2bf*@eT})I?(r6*oytk>8Yn4O zQS5&+2Og`n#E!>G_Pb}O`T&8}(0NOqhPBfU%TCA+vVCNKHkO_DTEyM=_HI&d>fs}w zSiiL!uyF3~f>{~r%dpr;|J+14k)lHcKFQX)Fgev&QPE5eL{L1MG>D+adoC5p`T z03L`)BbR)VXqmLS!EgmPxgek3=na`pJ+k>)+k%JTUJ_h1v92Xmnpg794m&vBrhCk_ z6WOb#w1@A)XW=C-o<)@)MbeRUA*I|Jk{f7g3jt4>x!(1y9P z2Y>k>AZf2YZcxRmjME!#hWXpiZ_N(^WP=S#NxyObN&=fhJ(~LuEW7`=IXv@YHo5GU zd(8J}SoTTm2Eue@)+n)63WKKTC)cYVQWx+axWdo=1=9*rM3gN0an~ofNtW9F*(`$e zwghBjsed(bY3AV5HN<_!Qp6AyoAnY{;!wiX!m@|d=(9fZ0xcYntLszIi> z&;$8K))a=~pNpkrhHJ~dNR#PSCk2~f)aDF>lUXR{VA9w!)~rmOYAm$)ta&MM{KZ<> z{sIPJoMSwMy)oYZ+*J)ts3#~S9#mF^CY4u9D9kH4(OO#vBXDIn*_juBeG;n}^X!Jo zuCRF+qEqrY)gbO^>_3hzDrP7E?1CD>Aj4NzN^Tz1|FPEd&W1AkE6kPcr>tE>CB+QO`?e z$3++*DAd8z#zJPr3y=h>p&;<&M_+cCu`P*t7s*Co$)0*qHE~v7$oQ zFdsIMWuhP-ADg?s#3CP{#2Smo?xGA1*d4_(_isqYf^DQNJ)a!4C}pQU?{H-5MI^Ot zVA65s(kSYH1N|s19=~ndCcZJY&B8Eukoq%WRC!bc^)=9MPU@nsV|mhC-O%i;+yof3 zOW}jSeBGVra5-zBY*9Fu>G+%qr;$6m0QRnN*DjqOP|B@+V~2om?vT~;n>!p0F8mL7 zNV3-dU)bTG`PmHEwbE7iee}_*_1=j87A|U_)|LJLSL|@~U&sHjIejZ;aW2_>FMNZ6O5?RSW-)D=64Er{FgTp8`OM$|gqT^XBMzn#^%l;YfWz%>6fA1dZ-6Em_TYg!$? z2nPBdPd0|8pIM7WpE9;~`ZFnsPEF!iDsTB|%eFH+jU{twYHXw(g{78tSSow@Y2hbJ z6Rl(YR%k4AeyO8y8Fi?Qc4EngIAO}3aXpZO0x8>?fqg+2h*G1^dPfuRFH(q#z$nd; ztR!M>I2q{zz*=7Xz1={<_DjgS{K*g_6g}mwL>^N))P$oIKt!B!lc23iEHi*GxtD@p zzjV_!`VTP`kr!ByvsC3JYLR!*yV}5iuit`@4B`EnLiy5D$T8jr^vY78?>>VQO}-cP zWx%yrDaqc?m?;a(pCpKCnS*mLjV7v4nms?|xvC2T;+Uzpc&uTC5?x#lzk9t$;pv>| zEN6t@m}L2%jM7y=t9y$YS+s5Q&VDzP{h%Ki|ObQB1q;HvWofUxlH8Yo~n(GeW z7B*{IWkCINBRj{^n8C%p+!Sa|GBG2H%%Jj>p821z&-C$g{%+i|{+tICJkNRD2GHhy;FnP&t264viE3lDa*3RojkT8X$!rkY)tY_540szrHyTvg>!>) z--#3d^Ic{}PbYDWfr&}mbtzX0Y9bEzOkxt()*zmv2sOUecN6U@x7XT^O!ls7~v$b*KmkbxRgiFG>>|J%?B$?m$G}3hT|Z{)vyW z-g0gdnuW-UKwP^kmQ|`;8g=m7W{vAq&dd(xFV`qn1S}BUp1=g}aC}QM*HTCQ9A5^7 z5(D8>CW&$ziQm9eG;ME}c4zX#ZkCcSwi%CY34@?L%`tIr2~*e#6)#e&8hOoL)9(oY zp)!p`!xwb8#>hvjbeQkm3%BFHN3B#3DGDg7+}BJU;60=jKqI(7LlD^xKwmNlnjnJd zQ>Xc46La`@xM-yO);->{9H*zO64A9ze>h0(o2~o^f{JAV4g4s}dXjYC3BgPx^m{`S z)0x-0N-U2$h>W7w`;Xoa@wb1$&J)n66IQvSfTD-Ev^V%aNL7$;Qq?oPRV8$EJKxpw zSH&k4lZ6#8Z`ZC@L8=09OZGO2b1J@zxJSnVevOA zjOIZdD2TUTjh3mol9Ljfs1KooLTS{W>T3{Vvy90|_qhATxYylm{(k}Vpf^dJ*>rD zJ$i8BJv2~Syva;=&2*WHqX8K?mAyQ5+?1j0nd{R`wo~^9k!A&83lR8W{|BJ#Jl2do{e#(_;3s zivl=N)j77@54k~(PrRCa%+XGP5V7C={$TdcHB!GaY8+s~o$+PR+jO23a zJk&JyZxiVVJAnGn%NYY#t|5dDiFuo{tL;1r?S}`ej}2j5L1m4Ano*34^_zH<-MbXN1NRMo$fn(H6y3YF`}DRI%~q`YIs*-~m!IB&{^ zLbzsEOjH}Xv=CGiox)|c@AvvlYhL5d9U$M!et&naMgs^H?Bh4huEPs=Brhwg`VmMB z0<=6$eFn4BXdy)VIvV@yOs+Y%EY}D*(OLd(#6CoH#2u^fa0QL@3gqhQ=j7t|dNJtv za>)zm<$gK5p6zfo#INls@{o$=j931-VH`YN7(amcCx^Wi=g~&ggD{Q)yN}y&hc<+X zxQ}bcBLdJ!XXWosw1{*DR!O6w0gc!!=W47%KKapuY%@R6re^l&!SCd>*dnqGZPu|{ z9_7OmJvg$z>a*32Xg}I?(^wf+Qt*#%A#r9o+ow!v3MWcKC<4lVt6freK=bzyS}XRR zkH~&8uxX`wl@IWFqKy})W;O{eUNQHtIsRsK(gl!2info`jzs}6l-e9ETzv?fy}drx zgpne>ORQ{Uyfx$HY&NXA=UF+}s@q)FgZnJe)WhreN+ZK@vf+XNt@yC(G*NcVAP^vP zbOBVEe=-7~=<)Y<>^|^X;f*)2kMy~2$36QpF@}|jN5Y6c{NsyDn!X#t(Z}~R*#FWY zuL4L4#%kdtr(BhZ*x$0WR=ZRr0t)N4`W+Wp!WP%9wQX@n`d8fPovyaf%OHQ*Gqo24 zP6tgM;{U1^ns}#>sNIBGDq#Jw&FXz)y0{tPw%9Pp759Fjc1gbzhV1oYuElbEs*;k{)Xq zk=(E1JOZCg`LMNDDW3D+Qatc?ytOArYf-29@I3vQIr4SKe7DfKg2`-E&U5jb(WQV0 z?|-g2>%2J66_!^au^no?p?q|DbgOn+e##~hvGr?6JvGtf6yJ3D^APCPWtn!c*Mk!B z&&rXqh`EM6rAYGk)dochLk=2?#&YH`dM=vkFWqLd*6)4t%Td*@NSs9@>p)0_E;f>i z=HtZL1<#VC(08ru@Uw7Tmn4UpVhvzdtU#j2QqiLE{g!%gwcoYB#xoku+{mfcQuOCV znB7SdYpf6JGIzZ`?60}YkMAk9uQr3cYZfE$3&Fd~#7R%jPHo;*;q#XF&vTaJK$qjD zS>2aqGTyKt>Uj@NCb20B>=lpS)WXLyfcYp)6B#NJ<+<;tF2~vmu&zfaDFB)I;}XW! zPKU_20+-+%x?my!Jh7d*$r`&wed>K{N12t@eQRsznq~PDt1H`K-DV`@RuX?R#Um|8 zlG6Py8NC-SN3B@b@Sv?(yN`Ro$cOK1Qz8rg=G%0Q}Fu{;U`# zWH2%eI1AR4-juhE4GAk$l-CQP3MTye1_Zk;bQD5}>r#uzk&Za>5&&R?#PtB0T_8KQ z%a)BMZ=m z@2AE_?Opq)YSdbD&NV6f2+Xh{io?yS8b{Nj3ghY;h5A%y*7Xm&%%Rn=OfvHn1xha~ za&U=xTVRcHD{-2sIT6J(i|z&USm&Bt*`}};Ldr2RGA?Qfs6-YB!jmw49Z?ZvFxFNK zQTP7wtVflC%QecSx}b60%mVpInp$F;mA#zpsv~DVpeVw)0E#d>@i08~jTJ-10P4iI zeBL4|DvE!1`iO=D#g4rCTOltU=Xc78VD_f$=`y&>)Fu3F6wI3ExUy|inB47C5e0F# zF9?1JB-oUBHwrSz4;L?VgGzo^P?I!xt$(T=x5t%BR}k*Mv!*$JIsw}iw~NJKrjcsV zj@uQE9jKa>r{Zfa{~+>B;Rf9_8NTcd9s+fEa?P=E%rNrn>)ol|0u~G0x$;}XO^b4^En%?6Tt%x zrYzR^*d)1ENPy+(<^(C1m^S;tx%(jRJ_Iji3j9&ILyi%rZ?)zlANnCYF!Uss>i9JH zECI#>)&n16xTXaIGw#6QrckMJUm4`xT$RmNUU*_1|YX5sxpPanu z!Jh4*m)&8(8LIPr;aSP!z0?!9XpLV(!B9m#+~g}9EJK>w*!Dt0T774@*?TpurbEwS z7x|8r3PIH1#xJ}nf%(y?sf_+_3-MNDOe&z77SI%Nn>lUJK+D*gHos_R6WyrmL8skS zi9P!0%yD73l4$z)@Cn(a6-T)7FGyLZ9?e(eK)hfqh_j^UVNY8xD!-mEjb5js#klBC zmyDq3hi*^hr|0>%RLGw_b2n($Sg@7tIi}*PO&?I<{IWP(rxja!)x3N@*c>aBYZXzw z225$?o$b-JS(F9oQ4sGBr}+GRjztlt3*Lu?XyPxYZT)?FW?Fwh^p01GKCE$>o*$7NERD=`3>|5+!&bYMl8ditLgflpui-$WGl%qN-vJ)fX>v@29s;dmX@M;v!AD7yb)-7%Yf|)XCL?9;j6y% zH|y?Z67Gd>zc|1NIpJpUxg6>p5ShR=2}Vk3X~VPQNCo*omjb;y0P4mHX};yLz4&N5 zCX#(i9rFm*8+Kp5-VfQ~OCDGi4g$;iXAy-P1_7XO+lhJOI0^9d=0;dpCLYP=$1}+%jGj$RL+oj z_+3LTCfQ3*#VTy@!d=~DYbu2KqQDteli%^sMP1AldFL2cz(t*`W#8?~n)Tnk3iBhv zaW0tQ`mTWvAGslPWn;Lh*@g=tZoKJGMCm&MdXj&Ub}>t$K=z)Dn#57;uuY3c-0CD; z2TNBGvI~BW0E6(~V2+XxvwYOyS=d_ed4oE>`G~) z!bYWdLrYxa06F-`Z!*_n)$@Tq#$d2@VIko~cdmG8l`~x+V6}xcDv>@49|~;xIpuCp zLPr|s*zY*ofJ}D}ffa#G_phZZ1W1{2I5@@{_~~e8hF?jIB>@&FZvjVuj@!FUx4^7o zQt9Al-iM4M*oVwOuk1JFi{oanTXm-t)ZZNki8%iA(^83c7UDvb?H zj7YOwRJqkXl3?UlyNw|R^;KI*gAvG@z57P*UqiGV-&@FnNK*Yu=+%SJB?Ijj>xzop zJh_+;`12;%RYuT(#DN0d^;($dmY~KKOo84>n|(h+nMSW|=!2Ti zZfkEP0BmU0?YSG-q3*F;Mq^{VTLr6p9VO4I_R4@MqL!UTWl+)!(?4ZcTHVg+%ZhAF zdJcW9v5xTS$+?7Z+qlR)yw$yqFk(X7?Zk9-ms`!!xhFT+H`%a=w#dgs2s1qvyh23G zi8FnYEQy(m6cYMJCdAx|@06s7>A*LE-!8uf0dZn_Q>Wh%L|S#ni%H-8n!o-_z$+Lb zg^&`(liERaG)0PszV3WxT%n2J1%JD+qRfjhvL*qaR>WxGgUcIRSrtbuE&OIFBZ9G+ zSt$d~G@k@}&zc)H)i@el6MC?&7}{z>5h@a30G-YeI~^z8jRU$H)o@eU_9T{kznQ!`aa0&&r53?lcq` zp!dffcQdgQwmrS&PDFT1vTNlP^-`y=w3-*-BW>v!L#ms^d z%;pDXL;vCB2u8jK8~s0#!)*aEe_D(N+#7ec{f*+w?ohLlta>4L=v5QIn&{((Q_%@X z#;c$r&-!@v6otmbPt5M__zesMqvOYX+{HJ%p$_oZoGN^(B|a2H&wZAEJbis7rVP$K zzeB#6`HctRv@+Kv*gpP3XurBZzkVk(*p3Q^ji$g*wL-l^8BWk%CaFW-tDcCi6olcJ zs5J|sdV(s#{jI5XlYqbyT1ECLwEh7sexAwzxzu=SFfp4~>HI;O9w}!4;n^o*FRP6m z=2QJMp5N07bxQVy7QYAb@YZgm(M4=b%mzWQ3_jb}pdy+>8#w6C-2VKi7pXIi^YU$`)vE-Bm^ zK5{`G#6FvOM5l)A#qLd~eWzMR_ z9FI=dG!v)%K~lj@a6(z}e2rjWQ0au8@AG3(uGa%9(D{@>!$>CNXX62YB0Afz<017s z;M=W?O=)c`hi~^P0kuZj3vv%pOL4}}Ac1YOQ^=O0y8B}vQQXo!*v#oOoB71Hw1Tl`p6pW`E~xtYYSlB`<&sVXJVIzr#M)3z)bMYhL-D(KMlWGnk!YTRbi;naGtf1wMHk36$>n@WlY2sasfoE+AzA=&Ohf> zDOCE6WK8j%7zP>+C zpIe*wqjp$*{7osV1+kqv+|kbKVO7vAiS{8!xKlSo#)-*@9r`ITJV!J+;^3(_ zb>QtEii|2Utm&Z-Q{eRAq22G;aIG*!jc!T_QWRQH!Jr7==`LLE6=&qwGA0xPv=|+@23%*u;kVD)Lb28B~?}rD5^Dg%&Aj&73tMB8-sg5b)yt);%0jGChj& zP@(J)=(j@=eyRpb81{+`4H-^&WjAz{LWka1V3obHGe7Jx z0r>_NPMy%SHYsX*mRe&I{Z#1qF3_YbKjVuZ>U*^PJSiqbJYvhdO?_V2+>U3DA@ z%;}^H7xokNS6$!c*BThIIR}lvU?e;r{N#!RX{lnC=p z>Pt`8-JXL@YslCvcK-pQ_-+@ODc3&)f#lHm1bBZ-=^t2AQ*$&0ZBXx%Ws z-kL*eb}ID&JIz7){){|K3nbq{Op9I+_5QTu$Q?FCSmBpQf_{**>-_M> z{cu(za0nAHc#y9qS{B5H57_&+uVzy`3%mR?##W?SOL|#tsIITujY#Uy^0!<4@De2p z@KjT<#OhjzdBUDTjORTjM4+=dosfzn65dHr^pVxiSCRf@CkU#&E95f}P++b6)PLgS5+$7)4;=1s*gf8g_hv1ITc# z$7(6yBY-;_Vl#Rcko@u>UXo~$?(|$2mj($%4e^@hMB?PYC4ekD%bAf6yw{%1@tGkj zE9I+6gL}tDsL*lT`Tc{gou&i?Kph29mvM(~Oc7l&7}(VigYftEY#{K>n@eFL-)kJP zv}7-jd453L*Q03%LV>;dy{$KFNjW&_goelcGWS_rRyZC9Ha`+gcx(?j0OH-Tc;p@L%?saaQaVy*L zv%`6IiL^yfB)B@D%!9B-gkFAH($F{1YbltWz+`| zYGnNPgHiZV;cgP~p`FaLsWTF7xBU)~Si8A?p{&hU`n-h-GW(l#CEz0(+B@e&eNMpHQNZen<_ZVs0ceHvcy(=`arOZReJmltjsXV{w*ZBRwEpPyeu9W_wO{WB}m@lEiTnk~j49;W~4i*C}mh zbz1wG`&FNjVjCrz7?}QC?6Y}ycYWMn0^}I)ZFhNwm%-Xxylu`$j`Y6w9Tj>{KF&~x z(trXSJ>^yppRn48mpx}Vz2XLUrY@OU_2vu63Qnjxr+A>~15wB?e8$_nP>s-3yX4P; zwkxUhLf6D&Rt#(OCT( zx@!{tCnxG87viEOkkQ%P@WKe^{OZL^0_L~`9VOriIDB$>y&Jk~%B{cJq2Z&z*>x7^ z(EW&Ek$5(J9u0I3dwbjZv}$Cf@2mN3?mr&C`8WGrV5zQqhF6rbp_ z9=|Hdj>3i0p8D+O@>Bm4o#Z5;(FSx;Z}H^$SY#|i{()Xawc>1Fhkv(93Mz&}U-4-e zT+*`)pyf;=#X?9;|FZc(hF}S0{_-#yP*}2KKX9I?CZCv^n&<*KnSR z+(nUfOFGh+_1-2~&~cPkVs(`zn_efSEy~fo+-4DZp<{7^Y^Bf%5BwfO8`p@ZF`k+6 zL-l)AvJc?t(vXD}_8?@f!^eYFAXm48lMIgrVA%b0Z6w24yiq8ZOFCz_9x0PcqVf8< z64!_-!Ai2$Kq|Ee0l!k@HNg5^gPh&S{+&vgYO*|r(LkUNmg91;Jp0vXkPnktRj8tI zBE7aK??Nl7DEZ!~Dy>ZGq0{Pb2%;Qg)<^`+#j8bbV$`p<* zpvrBdJGcHXca{$(eTd4c)1G--!ck0TXl7jow26!|xJarg&q~>``oW`>z~T8cWLoUQ zxkX)gN9{9;mD&Kd!}^3GNEg}iHBTL3A_%fFOB};&gS9~Ob9lA0WIZboPv_@4HT@OY zAG?0SY`NGv-G;Qdta}dzVaZ7Sv&(aR;1;8oWegLOz+LTw9YP^iMydd{62zOAHGtu- z!LI@Ia7PP$T15HBm#iR-qU-%!RVd630tZ!iu&iqQs ziBHHOpUz3ZqBwwNuZ`_Ym+Poj1pbqYy!+o=q@eSl-OKqx`qa->l|0sOML+VtGk&){ zoPv{kO%yNEu=qK5In{AM^^47+m_0|Op*PZ&I5}@xzEm+-GI4ZKQl(C4*x(m@b@}tA zed*T5LTMZ=sC05%r?Hfb8Bw`VHUdf^6FBJ5u1Zb$e)u+q%Ll<`>Q3?sNXP-o1syWqgf+2=4y;5I0Ok(RWxf zJTJx+HAx%Nb;tB7V8|+HZop4Vte@nj`0hpN@nhYQyqWysM=PY(X-A%_f+qQY^18cU zd0oTb2zoR;wr!qLK#X|#><{6RIZIjrS}p%oVlDsgBgLdo4dCfX`i0AOwZ(KA&P3LJ zs2cga#5v_v7ZDWlJz&@`-!`u}k_s+!TCqc@z38`r+B$IoRx0 zsG6Q4nM>e(Bi6Uk@C`QtRvw%g)3I8cx(PJ|lfSI3%NIon0b4?KCziLmSonlS^cb6B ztDMv2W2*2XI@Q7$hsCG+!deww^EZK%IW%v1*`u{kAqS6edF+I_X9$1yjLqmeKMp)C zM6_P--}eMEmA1U%v4U5}9(iBO;c@QLzfww-%xXWf}*h&_fP5Dv>;SC>UUU|Ok**tK8>;7S6{Z9RHBvfFt((f zFnL~B(YcZE;T@@-P-!T7ppZ zw$5Z0jWiEtN(54j#r(U0XxqXRo&yYa=z>Caz2F%|O_Es?03~jmC0hzo8IlZ$q956O z(d#&&Pf)R|Qt;@r{XoS{NIAn#DcNsj7p3EVJ6n&A=Do@#1vl0^*6`1*VsAB1$26DA z)d7CMi{uXk<#J}^!h48TG5;m}d&fYg_~L=)Io3?zzI(^8@r6F4@cy}RP5mo>^Vqr# z^QT@nW8*vg-4xwZ$BNSJsu$Lv^d((Jk@?Mu`SmCs7CfPhwHeWl zqeEOY|5pvK4B?NwnDtFNWUJmn`j4f7g-;KimqWPWl!TgnZdb0z_Jg=jZU3*Mc|Ll- z=(w;jeA@hINgR@1NzI&ftyxG$M9S>w@_PKLHmFB0sn-Vs4$6>Sq3^DP1*5?(MGttV zXCTnGRe3q0xNqX?9&Dn;!oRt;j>LxCL{MYVdtDRBbL26!m-D&SZhs{C=y*OlA6#zn z+Jd}kb<2*lOr*bMO$BSeaHY4LTe@N;KQX);NCe8gsEpRszr7tDF5j13Es|&H{4v!^ zIbNq`UqU-dq5^fW6w}Tw8*+b2^ z9IYmt%J1dvbpLQpF#)BL5jE@H2>HDzaj~EHMNtjx&-j5&x@LXnGWEwQeF2~;A@=rf zF~C#cP$u-|EEZzznyHS#n_b_P9e?+jmo@ta#(eb5JRM19f?T*3N?W|cq<|K?^ROW@ z1aM-#=c_l|l;lH^aX+AC-r1+_8>EYfJ<2tl;7vMEO5tP8=4*!+FP<7-itQvGE8&!X z-Y%-6PNda6D7j|8aGEUSW(niDIKNSBpu>?r&PaV24=|s<0O^T5! za__r@&L8H_pT(@=E>*=?q**gAP?cggbKZLtu>GmAEC^%p9h3pljGjLqaBhru|zw8S*bwDw>3ArYs45i*rED{};L%W~W0M80_STs=%hir6uke~=3|CS`usxWI z)@ZBIH2kwXs$r-s#Ty7euXTI2SbNjnXEhgD0Z%&FHitMcz}2*WO$s{lOISpH`dY9e zsU_)tJAFRZCOjY=f#+k%t!R|GQIIpX0k%K44 z;DPqVL*XZ;kF|M=_ZadlRLjbRrepL4HgtPUEv$Bg#hc?p` z$|ZNGxwPW-ZQwAIO|CV?SJQFSK0PMv=FK!e*z6WbX_7lYE&V1bpcYKV{phDsngbgD z0q;WrYcZ}lHOz5V7;~I;b-t6w98yiPwPS%E->`>y}Kl=8eJO8+FFeH;je)~L#Ujybir1iK#&oA65+y{QKPYa^Y zu8NvpokC|4&y~ReQcWpBWwRi^$i8Zh&!~vzWF^f%(YYKHGF%5B+JZrBas0CaBYp|0 zMrpm`d?-+j7zGV3(0d7su{Wr=^SUv!tAyLLysAG#RSBQJnu>*91s&bUan*RBbcN0p z+GtonA?j*a%e2LAm2;?wc~C0Jud*QG(zP&}ekh$(4$4Mi#!CND^;&Dch{OcsK>~Er zHfbdzscA7{^yK>JICX5dn88B+v*8dMzI#lcHVGN1(YGK?&gE-B$5(xmz(L#xdj z_b4h-*!~TqB$8y$aSV%uBu_IF`S5%0&ubxp-yG&NGJC~Ak@f<}IhlX2Z_M@B!;$L8 z0A!Sr?sSWN3rCUw9T981Uv#>V-3!DkJyhgk`J!}_1;Z_p2gwKKOi6>QyvnkhV%}~~ z1Q1|`1uNZFF28)$9iTkewr5^a=KSJ!(unI0zF`xSLKwCWd}ppufeyfl)Q%`D9BvDa zb|C%Eh|r1|?i*9S8!(L3Dl_Jz<6!?91JK1drpK-|oG+1LG$Wp1^ZjEZZl>I>r)zET z7GLTIXWiR4+?za`313rpl97&M^bi}y5KC3~MZXCjKgaErAuFPx_|+LooZ*ISPr;;! z31?SwbQ|Ij^j}-~T2N|o7iAaN-ECvd8)&=N+U9&Ba$&6*-BEdMwb6D*#NG@n9wPI2 z{fQ1KEmO7RDE7Cu{Ft1WPf|$8$rn6R@*){JFyuNU>*{ zb2oulHC+3B5XuofE_s+R_p1;wWpbsLznwZsC$12BYh$SZNuo(1wj7CWd`O4}(~X-^FPtd={%MU-|N z?1e6Y8=HgXOGz}nut_|M(^?{=xXhr!!+2v77JE)AVo5g zBij24^G*;5En#sEBWQ1{ji8BE8HcMHPsr@jh z88srzrA5k+G>V+z62Qenarq$O-1)`)&`Y*%;ro_y5 zISa+eIrV_0$AZ!$2|%cF-XnD_e1Y{ZGp*CBDqD3FG>ZbJb`Pmq@x^-P%ps=x#+rrW zL2pJ~@RiX|oT6&`Qo+fW-dZgHQ0O^buBB-N1Mfo%@JE(_hby%b!nRu zwlHHu@*$nA=k9zH)5YJ&ny;y39aHZPq*8F#c-kvxF1>w>=yQEy<)0S)<6c4iv0&pD{{c1GgSAl%?)1XM+bXJ zcm)KvfD*c(k{sYJ$5=C7tSPF-Bx%d=r`&QAWxPliwyXlyz`_{!RG%|pxQq@ghrOr| z4d*iaq!?Gi3I#l@psZlMw9IX$#5I%SJksdTFdqKM@n|+Zo$2*J{{-QH3$uRADWzlC zp=`($0=2i7^v3_hptl+^55F97Rm`Lm|3%1T65?#$nGH4w#aaE9N_$a=DvEiUPe>4A zwI=6i=$okxRRQ)qd+yk$-g$=_8YT4Lm52}IC)QyQ{@IyC`I%1~a&d8Y`0L8vl=eBCNye!;y`wn~>5*)bQ_WUHATuCOGKrIj_)I5XZwA!>Cz|uGx*;D(gIK(PmyEw4Z@1l{hOP4r!ANNMqk2R@cH#B zUM`LZ?^2W+U)&nRBOaNKms3M_7e7ueUM;97ar!=hM4Ed<947hAN!iZh>QH zTr{I9)^jDT5%w_o4{NU*_b-2+{pOztRz!L(PpqSVh_a7T%VXsdHg)%-!}+SIq$0h< z5*|YZ8Tp+BP`48bEY~7lR%Nq9uoxHP2delQu1QRnxs}esesvnZMdJ&5`M2YFjXa-=H7wFd zX|oG004}358VyY@sicozrYE}_uXyQ&ch*KtU(mvjZCO&rXA%GGf;V>NR4y%*X-aDB zz$odBJe`#7{AXWq182Sv^LdpgHpi4M_}&_g$IDB~p{a7$=9e@X(pTb*9xhcKlYQ3- zCHo}jnsI4}-;8YnNhK%x$rSq&&Y5|=a!kt^01Ni)LZttSxhWi*uchm9KR8hobtp{J zW~^ZRtLmbx^t3alj5I?-Rl1Bi4r$wCp;xWfEw7AU7K_gQ-hcxQ~s}ihW zfC7h4u^4-mrCCc(EXhXPdQCSgsL@)>XB;M5KxtdkH6A zaQa92mZCfjbT^~C+jVoKUvK!&dnl1f6o_f_Ze@IB8jq0htP;DL@w`=4Q{I}NHMsbG z1y)RPuVDH6Ni=M0+Ql>UE4gV{&jmJ~06ZFE7d)(N4_3ed_C&!bb%tM{6K-9!txos; zpAATe;%%^=Earl3bqCm}M(0T^cwAn&*deYEvtL^*`j((6(w48=((jGZqu5lURhzjA zxkQ7K>{fWR0b*tf|#7rb??vmDq4n~H@plr7CfVuQ9 zQGs%nV5{N2RtH$@i5xdfPJ(3$Kf<|yCJ*c}#-_^sNK(&6T$~D(U#TK0l+E4b)@#eC zvg!yhGM&_v%SZ$$jFM!(-9qaS@vw>IA_uA{ObBAoQm~=R+N?WsgXjJXzw(f`ayd(xp*qav5XfY~~E%{j(Ir9yvgVBRbu&1>l=V!~ zNze54KSH~^MlCtXO8((l64-AS@KqtmZoxY@9(dYiq0^i+>SBSC?+3nNqWL1AIhdIn zA5A-Bdq024U;kM;@gqRNMS_K&Off?QH$Zc4A)O~z<|Xq))Al`9I!oxO0202mF-okU zFwU`UqkJ~@h~o_mN| zt2Q-+l*SUNttfhnEB$34$uZOfN(fX2=lMWGcMakwg9L(s*pl*)_(r z)CQPbnM%~j!3<@VQ?M*;0A41B7*d@e(WzVk@?}OgB?mU4zgWM_Ps%{r71*@KR7-xR zzCKSMk}8EABureP&sV)Zmo2AovMuX__6?5K2*5FmU*SZJK}M6>$?bBPNmSPbj8d|! zF1~wNie*1RSq}&|nG~!Bn%Jy$vCDfE!pdC4{UQgc*^nMVrENGv0jP*w?!}hfd=EBc zV4=Ju`&RKxeyg^L5R5E0Xz+e3X%}IRZG+W`?pOG7Tr{6ZtQxIlx9y}OvX!_!)vH83 z0es@Pj@?jbI(Du1NvXdA6^|&{-c){x;?R#B$gR)kvz@W}AdFUfOf*FeF4X9%Jnl$F zA}&Y$;@Y__#F07<;5#VSaa9@T3j6{y*pdOJTO?(3pG0r0K^7IVEy3X2Bj?_S%i!7Gqq+zJ*ggYGSCM?P8d0UXtDw=%Imo0dBrUEju3X9grT4Xj{2RuMK@wadb1aIVd|W86-dNo+OG-{1vq&E=cV)-dj zqjsXN0CGcz=pz&}^3;zxS{kiT9TWPL(ct9xl8+&!WQAG~jR+p}K&-(xI?DyFDKGOs zi!uLDHS;IJ%mdM}nQz9Z5Q40d1(e#{Zs(>89M9VS)iKqa8XaS0<5(xjo>1Z(-qMv9 zJ6)5R1Zbi4Ii%&Oz=Kh#^E-9P=gxBB3b5TJ0W!sRF?{j9O5&2u1oc9}eTtJBX-hl7 zP-MKrg3*q1jx_6g>)JG-_W9Qn9X&ZT=SsqASZEW{*-hB>muu6?W~p4m5; z@aUX2l(;|GK8oGZ@=f$0=`9A_%r^LUk2(Kor@486mWL?*iqo#1n2pmXBcRO+spxI-&uZChYe7t=z5CIc!<0xdO}A$ z#FI6SDLDVD|0`Tv`eRP|0~ptVB2&cCHSK&L;E*{0Rnc&hh;I}_J>c$Yb393cA9HoO zs&m5N^VRsNl}$kA?N2eME!`{9luZH@y!k6@p!l5BwwS|`g8!9PE!JRx{1)!X-Ut%5 zKhT^0#LgG4pxYnIpRFLO4)O8!_)e-_x88Mk$>YJAneZPl`HTC8`9*#+LyPU;BjfIg z5Oc$rGW+Af8G#5)~eS%WkPg{QW%_ppbmyFo`TR=NW>N9;Dz)JfJrzp!syvQ`YovIF*tzD6>KzR zYigH?UN9a!&xfX+;$Z~0Eq?6|oZgP?rb(Z3h2ObIJrAs%$(}@hW=3Jv-7%u z$HVY0Lfc;81@$Ul(>1EN8~!EtBb51*VtihHj#k4>!sUvR-iC5X_bKsw&1rK$4GPzF zvN}QIInnTlw-RIhR)?6K^gdU&bycUvmE_$6(>l4U3P{^Zxx5-iEqj>h=d zyzxMr?B+f3MVr&NP=RD3vor?UU=#5s!J7URK+1d}sz1$2rXQ8fUhUbuM}-iiTe#?{ zVh?e~ycm@tOmKrRBmSe29Cd?m?*RPX(HvKnto-jGU&$5Ch>j$?PeTML{?AYKbrNOw z@#T^dNs~9DP|-pb?501GND^=xKhJZcFq-v-KJA5{K+X@FUO3>J@ArmtUvAiVxD3ZX zfjyC(Dn{e`5afCeBs#SNJf=UM7LP;;|5N^W>o8R0HWjkq28@#ZIFixcxq6HPWnR`S+H;ZZQ<<#mKu zCYOYbIM6}}BS_eBHg}kD2G%Y~HB`hnaVw_cMI9@ISj*p?F;OOBPZ%krHqUc}fkAL` z)tB$)eW1NPhl6!rAlJuuGbgfvp(71S?UJSRWH1IQTh-*CQE9K??{d5PI&G^w>1(t^ zcne47g<1Fxb9s+!nWg0#jw960qo|S7`aCw;iGd~^v6BuVYa0O#|1x3vpsAG_mZ2gz zvRQ5I8-+}Z{Prb9)?$0R>GSoqp8^a{_^G3UP7^_us~#s~;Uc&E9Y}(EmE;Hd^&Z z{NDBI_fuwYOz z>m~E?vHM)V_7p@nb^>uVk8#V;<`|HS){lPRy4gB2o_#s6vkT#^fAi>!Ikcm5Qr`VH zR7y|yuJSIuL+*N$^-1yJ?Kxrl#a|vY#u(@o0om#K545RJ{-K!j|D zJiwY@oOlLV#Ymi(^zwqv$Fu$junHulJnRP6Eiu+xaVD z?d>diOUMY;Z)>p?_8|2wqAJ5u`*lDxsEJ0ADXnRze%867DbdF5cunNF#5tFMQSjoP z7Vk`+bdb!YP^i3$(ok6dqrbV5UFAUWF4v+5bmqUpES7=;?Jr7%+?aq|1Q8D-nWcsR z^^QWMc12P3%I*jq7(7W`C`L& z-zmAn(=s$IRn>9z<%^Qu;O%~YSTAOiSR!Rj#W;%8cV+U?e$}9t{Na^Ew)bCFgspdi`YZtMtH%x4Q+eOAh)?Nzqb)&6@@DD&-YukzCtG$8^oaY0I%9 z9xIr|SKCkq`!5%FAnfvKq+^C<==_Tn;V^jPNFbc&yd`xBvZD73MTl%%a(+fU^~93kC$B1K=197MBAFKXtmTB0G-QQ)fnMKsw3*5We_=N+%NZIIn(&= z#T?5!eeAfTAp)tWM{{gge$Lp4BX114Hs9783y+sJf2bvbY6v`xui5Kg2zUZ&q-cV4 z4W_xbuu2JXq{W#>_JbOp7}yOKW>qyBe`c=D6p{1_Np1l(ca1M+3JHNv!eY(YmM)4J zK-N6L&o;g4F+W{IG0~^^tVSfr zN6RMh0Bk1O&Z6*cE$^-w_U=o!6lQhoLp{ttN%jO~Fj#o!T3E4kWxJpXnU_sZO?|gk zcl<&M=i~IE(vW05=6}YXn@z3*m4}b4E^&gfpB?CvWx|j2oA_ci ze&h6h0*u~fUJzr5MY~qjK1=Z}Yq{r4ce3TgG_#@_cp8XlF+RK+zrUB_h<80-R~CpU zonWxH!5w`VwcOIk$eOx@`Tf)fd<(v*FeA|&`4p?ytqsW4>=Q!Q&QT{G!yfKS%5XTy zRW@X+?_{d(JPp{BUetlJG}e|%&w*=E(VimWy{kLry8B~mQ>+WudC4716OA3=X2Yg# z1W;?LA>28mmG40oyd)!)|4D5bIC0n)4xi0kIb3SR$M-}kkkC!^jx{C%&LStAaQ5nB zu8WqxNWj5GF=gX0;*k@)e;8M6s?}IRCG-ZQ^V)VD*k_YNZO_dKtG0TTJU@|xe= z;og<(O_jmgf3Vt$93vWcY7vu>JuZ! zn@XO(DW^VPaV@83MwYQg~Vpp#DS&H`yNR;@O;-8>grRKz| z$i2)<+BgBDNt}q&trb0QlC71fTsWbpPO7r^VzETrxYD6Ys)8n6L4VoriVWqrfs;bt37|vpTVtkCemO9aTSyVqLtfFqC2&g3wLmbk! zur752RTOkr*?I`DlE#fL+X8z<-Q!H7X+NuCGe%}s)M!qv8?Tz-wy;Wc$*S2Z(z;lH zMy{uoKhNrOfL^T?uR6b~c+eE`J907h0TljgX6!o#%O{K4%sy9x7Srt2&cO@L$qr8z zTnA`TRdZm{vxKE!f}{5qnqou}4ed`#%SjH4Wtu@dI0oOdzGB6r`n62@%tLH*4l zm*p2uvs7V&!>!QQNlELiWb_~9%~9$T5poBh=BDIaJ$B#8)rLg5IYjF zA(FdTTqpFwINXdT)x;SPn7lZ{z=dTmgaR&#G;wKQIE?qWXSHeTnEEuvkq zbBgAs{e>(wU_C7~O(zKr>;EwIjnRQbOSi$q=7ba5wr$(CJwZp4Ol)&vTN9fT+qUiG z<=*eT^}b(yx~jU*>0Z57uTxcf@2K_t%lXnH2rYFpsrhoYPtMrsVBO`s*kh@OBjngH z;j5u}V%_YvdGnrk#aI`tvVo!%!>Qb4QP`jbRUp9I_3IyT(c7}o-a-KHHD0OBbR&+1 zm$4Af2nw-UkaG@&B<$BOw0KQeqNvUbDr84Z%g+tXU;fDo4XGV1#1>SC08V1@ZGHuk zL=j$}03lGwUAt#mu`O({z#r5nSNpF1F9QG8cZti;ptzislA@aC5%B>^Ta;1t*x{Q1 zD4?h3-(oN{V!F~ANmCv5M@xFYk|U1%WQRhKpwYgfs>|+be~>bAoLrX2kF}ZM(Zy5k zds9bTnG*Rpvc$^s)bH1K#1BD+$h4Wdx_M2JqPn9HPNlXIF%^&NN5A~jGYA?@pvKn> zYiIdn!&uE;hSU=!$q9#R=-Y)-g_2lkO#zHhF=E=rol(Xg5SY@Sa41wl8P%qmtoH`X z{_Un6w2(bSi{(+)N;6GzB6LTIFYJO_&4S0FLF!wfCtTy|eqR#sFY4}5LKxI)lMqEz zJ3fp_f#z2BQgK+0MpzJdv3@imB1(Pi&3Q(XLQ$OURql&Np+RZexi~GqjFwchqyct> zgb$|SqHDu959_LMTuQaf>u9^f3KO2yt<2MM9S&&7YxNTMXwXq+aJyOm0q_e06B@X~ z7#fD~=-y*S9qA(Pa$>N4DoULRbyvEhYux|rXWOo2 zk2=P}aSrQfBS$#K+KG;d;E<03a6r()pD{YS{N=el_q!g7dmcz^zbf+#%CQKBdvXQfl6_Z$haYRsQT**L9 z_lr!`raIUXdOm0{F)^G{bYUy{JeV3mPF7#l$RhH8r!+H*J!Se2#&mqGKzjEy5(`bA zY@gR9YST1q?WB`zjGov(dcqLYMi^spqdy3F)^o^hE*2ZSRm}!^a1GWcaspGC@T{{! zW;mp|To4RP>be3V+Am)CE!r7R3%`x0FY|2&E?GR6L>bQ1DgAPBn-Xl776_TO*Pg$+ z#|)n8|Kh?EOWpC-S?BTg0qEc))64%VgH_?M;CF^bmIWoWu2k(BRiO7OrGkkDvlY6C zjO#FoLvNCaL;J+TVXJu2k!mW1=+BB*nb$)H{%lR4NepwonvNx&n^uEm|c@=SR zdVPc*rP?j3tfDhph#tgn7X+zKZZDV~*7cc%m`9 ze~2q;F|G*Jf%B&MEs@(|WNdvl$U+_UBO+?uH;T2tm>baWmjTM7VV%0l1sB1Eug^0w zsE!$X_lRp3-g(C+6dapv*m-aOgNNn8VZPLsxjk1NdLvi4SaAJ^ln0UeYdG0I zQ6A}Cad3I%Pfnvel1AKc6OBaQv-&a^Gc25?%=Sh$lsRcR2)a9gZf1&8pzU`~p$+M> z1MOmtGRD174qFt0Hl^PecFYhdMxvk?7!=5eRzgLl(>7;#!SLiA!U#twv#_!yN z9Qtl2^rv<#2@BaX&(?_J93xw~+lPNSqShD$_(&$b9!8-UuD=q+{S{tXX$|iKK7)Qw z*A7r4`P2@4C0!2=nVr|ijPku<8M1jsA{RuKdsD(Iapy(7J7aW-hymWTJ31tVf z05`NGF>n97EVt(?-E(5;RLJx(|4lSRNDX4JKU^M-8Nmda{f888KHW)*9KKM!3}TQ! z@$|wle_P8+{|7fb_+%wyTS%H{BjKYC?}aU@8p_`sQgj+GY*4Qh3MU;F*Nb(}I4Ea& zuLmlofWUIV<2Hj=X4WbUGRzLCHOUSs9E@g+F$?sdU?KduYv1=P)%(javfW`U86j(N z-*+gvvFKslMByR~!2dh_F2P`I?O#{TdiR^s*5fvn?>^R+UTso;zZdQk$@z~mgO_gW z3CCp4`d~LzPlMUhHg&pZB2V^zD+!6#@c&`q0)+7P{!QN_Z&g`9CCO}zr?@IW9PD3E zy251-#a#X@g;_;Y^0NXm{DoPd$&yE+B zoE&b}GEk459qV)jg)rjJv-w|0A*FF@2VdM^BeqPfLIIRg3(>L2jcA#SU@)nNQch3@Q~%qe!xZO&Hg=Qv-DmmfkV&}ADnN+}oXf2;&xFH_6Y zbyt~||B^p`oYlQ6M>-U~*|I+V`MaZGJcft1|Hi)`37kcM@yyNG%cx?cuyZ!J)(ELi zjks3!lq$7)6maoJ?hbe94{XFQS()a+Xd7~M5ht%I0MGot4LvOl}bLE0pX_kBWOU~RMU&lv8TE4LcWvV!ETWe2t=e4s&RlnuwMiH2^9k6u9$i#=>QsTC_n{VVkcH1%+98C-lQ zy&S=mpaomE=WHaAnW9_*5{UEjLKOVEJ45IVG7h^EOxn zc+8k8O~_<0HhQKiZyX|1N&2#Iuqv9k;KJROYI$EU@r{)RQnEf8iX*T*j*mNLOzl3z z{&1wvc!hT1RBHZhn@5MGvfRJ?3rBo+SZ51DR>oqmSlGE6EBt%<@$Lv{R6QE&2&gxB z`|R3!TUf$hzP6zZZwM+VJRhW=O(wt3Q=FthLL z{$N&Rqh%Nq%ZRZ5Bq+gH>tWr>Lr{>Hd2_&p~R$TGwGfWU=fpN8= zNtnZZ-+5|g1|SAzAb;)tY1!RRmbm zgorE7X9y*kn3xt>B)C&#Xv5{rMr^A!9*D;&I}ZVw3B=uG7}>{d-Zci$jB%S{f#dcb zvCuRmtMHSgD*v<@c}iT&`eZ$_Z54aTLZZZl zGg3R_BU}%#9m`ZBP4x(W1(0N?v8Ze}9AqQ8b6`i>(l|8XTQ{l5gfCx_dSRqsz>ahy z*N?TYCC_)H8!n6_MKTZknW@+BYo*9{t2F(|i3*d>w&z;r-29}1ZAmBXDXnGF{g=Z` zFPE!qVvNmtgBdbiUhN_t*A!-J4^neT#CRcARTb@DR)mToW8a`ag`xVYv_yeQj1GP2 z4?q<|yMxNc39*0~vXTy-`W@#+(pr{^{QX1@>8J8ShpuaZdIs%Y8Rdzb)}wyWE~81g zVau{=+XHi5C5eSf0`9HaPi1o~x>v!vl#wN}Tts;7N7N}NSQlz`>zjsV$f=I;QB3vu zxlmELQ`I9%EaJd?YLeH9;)TkoV@~<81Hgqct;o%xfm+ZzbA5YVQdHX|+r(kCwSX=Tmy-X)S!$s`+a0m>1CscZRzfSN4_vSsNSt;)ID(rDxneU6h-=zF>$Vjdl#oQLwn7uKJP0-J%Ru^qo+eGTL@jp-hgmj9s^hwsSX5MC7nd)O-q*@)+36>I= zJG_x3lR#uU)5}&)(*W}z4Ita(V2LQY5_|#ths{2RR^OosQer zIhwtItNb*Jr()L5G?B5K!2eW2t9V=z{wo#4Cdd96u=BTdx$zm?3NZdTV)!bSG7Vio z)K>&!1x}~Xcd{~S+00Z>S!pRkeHgMlZW|t8!oC7!D!Ec&Z?6KMiJv;n9*bj}t``{9 zfg+Q^mfLDY7_VklAV8aMm&?T_2XE)O76N~fo<&X3j&fLIo{tsZbWuPDk%pT!&Az04 zgy=ve2`BIs+i2(x6m3uYeWyhD1}lL;ODry`LMO6{b(M z4PP43okuN-h%comdQH$R5!nyrw8mixN4kVGMX zKeTeIFFDl7lMyNd_NM%zaD*WK+MCnr;=yh?AUO)DG~pf?vrj@g#=(IRJm-d7#(Vke z4s$eFO0oGLkRkFs`k816E*=!+ky7)kA zo8yJgKBo95i|{UlO%`_rrYWfwx%?z;eL-!y-iN3w-NGHeGv2}-t}fidtE3Wx*M`TT z@+U>LvxQx?D{GpL&W%;M&kA*Ot(v+G!RAlpZ&B@qfEY19Y0faYWo183n$+S&{9A$# zL4u5fFlF2DD24%AsyM1axILGY8vT>4kX}_2b37l!5=kP*8g-V!%>n2rZtHNtDKb_* z^BXGDS$KzOoDTgP$7^zjAvWZPD`8yHM8x-RAY>>|7&}`jzj(3^x{l`K#GZ#r?;u=* z_N3L80Sz;?PZ_zp)|$Qe2y()VJaS5Rb@IcM&mG8~d83S@$l^^-?rQJ+{EU#BrD>~1 zoTnqpgU-B>6B6;mdXB%XWYBscq8Ue!VZM>+zh+GOB@PU4SSN~|_@i82cPn`4at5DS zCqj89T7_@0Bt9!8iyh1|H($zpZFk?)pB{9ufx|DcMuoPMX5O(FyG(`K=a#Pva$;=0 zf_(VT%VMlD@AsO9xF#IT_`5KuDgct*ieh#C2`8M*VNNgSlA7|m7^M%Cc%8bgg;7iu z{9$Al%cfM=W#h7sanp$Tn3S#hftIMCoVtM@hD}RBBl7$E4+a~;7stx259Alt$J0RM zEYGA~6|n=goVt>ze#?Jgul8U-?N!mMow5aAn6W?#eA+>dbvgu}_bQGnKiO1sc*zUX zJvqvKb5O*q+1YyGrs|5!g14W3Mb$FC)#BtL#gK%C$Mzgt6}eE$U6ItO!Wg|CZ7MMXwu>MY&ma&}Z_(4q4u8YhOZ`dr&{<@e`W zo*Y)!Ka+=JUmIofQul$*_WUniCuTe3Bv}8M+|RmYFm>4;3Q$M*ZH)ZVbHEO zPT@E*e|)`(7`}*^BV@X`lk~WZ;Ahx-T6C56&Jx~5OZ-`n>pkF*qe1_d448cWY9U*x z`m4Pmd!V5Th5vaLAJ0W5ll3+^Z8KzcGlJ09 z)_g&Vxcp6nq1@@X&8wE_JW{Z({pD)aY9c+gbGzFi9P-m&Wi@dKEfW2f37p*DZe&h~}+^ILLQ&`b>_z zOae&Wk(AIWJR%6p^?e#0Nggjkm-o0)FeyYs=tkV@w8@o;p8bAI0BFy*`F9=O&7M-9 zMCV`YSv+)dq#n$9a(a<`ArO9!zm1IOWjR6bNTmLJp2$!r-*o#d7PBH;g`M3+8c9ZJ zFz^&=U?HB=CP%J%p_^8#>N8w%HtVfA+b1)(z7%pI z;$XMUENt8O*K^YS z^OB4CyD?Ei$-{Ck*9|{haYFHl4y!%C=VNTz(M$iM^6rj@ne&Al26Y$_ehpOev4_;e z*%b$sgw+zlgH6;K`mH359>qS&`SGv|zkO)|ypP{L;iJC5Xk)hu>xBwAn8Ng!!e87Ony>Nkd>1hooGEed}zJ;O(QN58$p(|AGRVa2v*;5wkn$mH#`1-N?e6B8IW^X=h$ z3D2Grq0#n@jHpZ;8x8FDl8|qw@EnH@XZ@h9&83t+rn>OKRHR{XUrv~sl;V1n6BI}L z<#Az)IM^-%IDbhuFu*UK1Pu@hZvTX0DJ#0-2C!uWq{J$p z_dV9KSpQa0w#}|97+XJKaOy#`&LhNlTilD+b@@JiKR#L@Ig>ql+EG&RJ3twcF3FxO zfQj4M?BT1?L!NP1GdxyG#~$$uI5@RJ4`D)%wVy->__^OALdJQ(f45UU@3#qHZ2a=- zJ53_!PHVay+l(I8+y5Ty5?yv(EbxwWu$^Kr>erboUpNzV>r^Yl`~!j)G-LG&t`@fN z^YOF5m3Q+!%;(aV4E{>QT$0XV_*)_A7jIsH^k?-cYQofy&BDk;{VNe8^_)Hs{bYI` z{`TJ*fQrCc*KM+l$HBWImRQzbg<&}hj*)EO{(^EpbIy?Adp8ScNS&fS}c)G}Sv z*zU`k43;7UhFbm_R7wS~vJbLfLdzWYVe?NRKx`Lr18UUK!GYGjR&F?oSNm_T8T4#F zx0iqt)3dslcY=}Iju3^Yc7Y+zj-;jp0aIT1OEyz>Qjn&(+x)b=cb1SCq9*gTAjnUo-G8=jV;k z0iM#69cEY+ORF0`X5`z;ye)?UB2$YRttqFM`5N-q@?#2qeZKp646^6&8rU$f;`37|X>{%boVsQ%liOwku$^bQ!q*DrIsE+}_W` zM)|Y4eNiO9pNIs0J4g(r)svMG?KQTt_oHG<3_~Ys1g}q2)dyV?SH`80zm=?n1aOu; z-c{3CPB1NJtkD0;6f2!L4UM`j3)e1RRL(}>s%A93)`{H|%&W#}>6A;E5xh2a_EEwr z-|Z*P>SexxQ@wDji^iOLXRbqB5PzTRs;UTnyaR1_!k{-Nb>^KI|Axn^G^L_olm5I@ zRIIw&k`$+Io_*ordN7@#AG(F-0UU>(S@TSX?zhsvQ0?-|cQzfblTJ+%vUCj$qcG zOuzcg+cmZ(;*4qehxd`#LrKU54M}B9Xtop*S|zhX4j;GV681w06q;fu->z2p~+mEy~p4l3{8KLIvz!x%(V z_c%WCRb#~RVLZ(gViQD)B>b|#B>cRpFGkh;px|>B36~#(?k#=v&QJ?v(tkygRHpxw zX-+FSJgBzXqOE;R5$!y5{}n*>6_7C2A~J3o8l8lHAHq{O#@>UEPkKh_A=}i4AFgYN z)OfO65!ulDLz|p89d!wic|4GyY?>s{W3|l@N>A8n>L(QQD52g%REv`JV{O)UFpjN! z5kZ4I&Nb4&D#*TUA;YA4ky9h;Dz!Fe?iU}6#dpkfpRV!6JeD-W4^q*uPL_y+5?2|e zD=x2=p1{VZpIxBT-e2M6lQaW;-}@F9?jB2tKOq-K3A-mmhawB~>{vOBR1`F`X7V9} zw4)ApftNaBRPEq7SKun=dvh&?r-N#Sn;u8T5i$7{WE~3H!wA5HT*woy^YZbofm)!F zX?W^6%Y3I$a#q7-&z%%0Alo6vnmGxAt_CM3h$ANs5i1}YCd3*z4*E?VDT#&xP8fJw z4hKp9LfC6FGA;oWg#9)`v2r4VdpUU}>^;T(C-Gm)_D=_n zB0{0I1cIV7wOE95qVR~&LlWdiL1*C5)zjPrvE;;%P{F&HsIG1Ipuvln8AxAqoq`bW zK%%&@-V2H*4J6eMW;Qeu_27KuO(gN>-c4zSs%v=zX{~wszxbDapH@YwR;1*tS{;%1 z!X++ZIsu%VxrQP zb==NZpUJdwE=O`?r&f;A;kci5VXAUS?OCD+E3tt!~hw2{;^WyCt5QFMXPvQZUZ zdSnN{HdL!a_u_2O{@MkMoQOxnvB7K8G3U#w!=WV)mucvnim2;p?Z?jd29wp5E#8xq zS1~&eTO0MFYR#X%#Lee6I~`eX_oC{}pZ=Wr6?+?5g~?7kt=3URp$9EA`Q_^Sf3CYP zm-zp4Ddh~$Van6O%>&xUt$vByg?!p;NF)qD zY(n4|D!DAQ9~6JFsqxTMlrHHdv8l<-j~~eRT^Da`Q?Go7b)4AB*Np>GK7GdgI) zRhXr~8x*@V!zrg@HdDGXy+PG_uKLki-Q|X_sb~B#Bxsae%mOMTtXAkkIpK;^(HLFT zzC~ijb&l;*j!s8|}2#vRi-{V4!Mjf$s8D+A<(u zOu@%f{fO49;oUOcythpqv#9>L`9TC*TrQ=oecRimBfs{G!WTrEjC?g^SfbrMWdo&B z`*}OhZ;Yq4ihQFMw{1U1ng^@W{gdb>mmRI#0pQv%%-y>oq{H67W|khk(`Oovj#;7S z3ocW=h7B=c&8eL5d`gCYTP|O)*$}U}o}hTdU(Bj|xvGgu7`WrlMZSCr_Ul~GF9}m*1qS4` zX>IBOs9rKLm>`IPkPWb`bvF3kwWnx?bwHrST7N4f)$Py5vu}56Ixl1W!m*D@gWV4F z5k?oYHfCB)je~RHcQ41qZ-}w@51rfRDA?T}>)IbX{R17u+i$Y7-ViJdLI~VTWMOQg zOQQxG@maSo`o>BPg?D{J?}M5)VZKMNf7(Lkv0ld$S(}Im0>a8qUz_ZjgoizY^#Que zgwTwrWo|Bfdb#2AC3R)?VCWw`|5P#bYDr;p$k7@nlGF2q$)xZ-33Lfk%X_4hH=|(I zRH#?9ref_O&i7@lIdCF$S~NyKR)4)MF!rEL;L~xhz3?BP$AdW2!7Jvv%1C3Tm7(no${@S?~Tr-L_K>xwf8li zo1#75e7u?{Z681BsOp+6q+?qVKkZZM1-d#b)-u;ty=Z!Qw{?9B-3P?NC>s*`m>rqpywsp&{| z3mdk<(bvY?a?pVZ1(BY6lNOV8VC}CmEvA2g<4vzS>+q@RaoW-%pMKQNF!Yvdi}K(6 zBy>#YzEPLv(|*Uza10-Rj$iMbjP{a7X+LFY3ZZ7IFXO9sE%)D_bb&n-*&P^OBB!Rr z|Bden=#%foUf#EugOLv=B-AYe-wJ|Dnpym%!>~5F@wvIt?A~lg3g_4PjG?)|f#)rr z=s&nB?-Nb38s)}zKSO8ldaO?UN8x?pRP*+(R>OJS;dQ=@*2k+Dr<2oH>mh;MtzNx>)R6z#VKIlFY_i{jPTBXa>bIYmTl+e=PKI2VbqFeM_-Y;~%A{3$ z3Zx3ec~n4Vk)z)gP-Sv!${^%b4wrx3*)>Cl7psY1(;r z0ABAaLy1H0r~VNMPs-ar0;sVqDsM~Q`aZF@y%_H82$ir84KUMBS~A-plKnexJtQU;_)2Nk+%7!KBhjEfsBTdk^hYL@p8KPIRW{O`Z~?>iHekk zZ=_>)JSp_A|2&|25zf=_BSPIv>OI;PaI+9pW8b|C-3f3Ei9Pf*g9q9YG6Q_%miv{`c;7Z%-7SjLMm-#`?D zF+J!n_lOo2J1eRtx@Pk`maf|TAJ{908m=o=F1cO|vvFh+cHN;Zgl=)SAji)qz?USp z>_HAU`wTkI9jZ4o!=wD?Fo6XJCq+cza0+4O5)m9#dYJ8i``Tk-U-1sQSr)mjg}N+H zYf=3;YX&Ae+K|A;i1|!}bgUI}2;KJrd9J99CG!)%6;^GnwwgM{^BYSurFk;b+WU+q zdokQKk>;I__Cnq1!RVFw5~W=~ z%!bEjl^T~PU46}ocvjj21H7L9KxBZu(oRR6jzYjpgsS38FWuYPuJnG1M~a}!9xXJf zGll}O2UL>&Av)vPDdW09&7G@YOJPE%t0(HQ*Jv{4B9M+TawJlD7DcQ+yz@1 z0n^@ha(<O75_u!x@1`Dyg%Kn;AhSreC~|Qa5zk1-WciLKa_&-75ONZjSC5Z9M{V zIZKe_2(hGkwW3W^z;>?z)bx%vy2jP%h7hHBY5Nuuep~Mo@*iM>7orH{cyV+2{rhY z@3-ph{D$j*&fZkCje|yqD%Me%q9XjKp|G8^Y%NDW6mGePs@QNBI3M3%E+^T(I#cD_ z-9hm)DJAtj(VA}gU#2b5Ii%-#W zgSpZIx?VIufevYk@O1Mc4cwfp$+c&!)dlD-)Gt)!mv1& z28AB72mb;2O)FTz0qpu@XjPPKR}oEAgTtMs0@bsA60Af4^Sbn3i&l2A-2B)JRaM}N zWpdxN4qV}!>pbo560zHp+em|m{GV7Jbvy*@JZ2HZ@)wJd$=Ij@TyXW*8HBI;>==qV zf)_3%De8L`5D;}gM7o)|u-3^HMNhVY{#0IqQFB*JwFyl=l%@mfqHVn)x$S->K;GD3 zjOO{c%4PmtJL@Y?*YCsLq0Y=%-yM~Z@A6ix2Hh2fc3|?QvMAkowtPFw@~{eR=GJH2 z85KemrSBRKxfH9qhapI7D5B-UB|H#j#jnmv#hq>qgn+?%KMGe~+x2_WV>H4WHVfa< z`^(*Jh<=wQR9dDVGgkvGsxYrifUawz3-pB0!uhtH`$st1=O?%Mb(r~A>Bj`w5K(Kv zj4tfFhCU#Y1}`F|XUE*N(SpvFORCk#Oi4tw1b!=abuR0?KF{(`5^i7#t?6GSDa?>H z+fPgkK#&$RISzqVem#-`*E1J{aSztg65rWjRh2NpELqn`yW#~&b6^oUX#@OjxRTAA zX8+tzcc_@3lqRLJ_^PlWR>mo7N%XhIro7GHwvytfbgAG!(aj)lTy*Xfl#U!icbm)n zRU!3ziLBp#Yl&Syw#^AT@V;2dRt8L;NUMu{2g-4^m?*R6J#P1y@OLh&;)m`Z+0tW1 zI=a+)YTx}pt-txh_jvz(R1;~U-x5&y2cYeUrwcYRzM(kV+JQb24GBlygs)@AZVa2| zY)l0Cd9_X+wTb=RxZrmiJCn(|Jg;TIuLbYuDio3I1h}VOb<_%0FD+_D1wk54#Ku4z zfiE;AvNQO86rygx+*F|l-8!`gou1jcMzUqYeH$r^N;G}v0%?b~hHJU{^ z$RB46%PLO{&I85r+}%NmzZ>@a!YZk26 z`MM5Gb!}v@sb;&lZ2}17=>b+C_v9*3fU*r_hw|@Sc0MbEL**J~VZr7niEF;v*`?qapTSRC)2Fg(G+d*~ z9(rQhw-#BSto%NJAJ+~u7q2eFNhhL@$<->M0!!z3WcIbPoS2tfXBM*r7G@*yB8`vK z=VeH`?C4(U4Ye5>9q2t(BX&P_eby$$!l<@{ZEVDAc=v zU3AaJ_Qyl9K-1y_bs$0k7SL`H^IznpZHk?{M}BitpBAExgHNc*a?Ub0OfRfKjI6i1=lt`W11o%6KRHle=yz7=qet=MI@5Nv85E;PO+)K5Sk~Hp9}72n z1j~n^&)NrANkGnKNM!h0rMk;J6FEzp-t^J#hZ2zpxbK3rlIgxi+{*=Q+{vuW`L_5Q z@2Srix`ru^jt@uzIDT^{RT^*EYRI1T(nRf7dGy0SndmsBl7sj*+3iLTM>?Br7isl#$|8hZ!Bi~)kM zW~qYX_J|EhPCOfJcxdYHta&8N;kmZckf|hnRfHlt75#q!{0K&gkPQrMr54H>A%2Up z6f$^imtpooYptpDU1?D&+p4_}bn@*qkH$w*ZlvV$`tpKFS{E&U_=wtoL{kawv|=L+ z(?wUMC34M@47fq#vawsSC7bM$)M7gA(<%{WEBN1cWKP1GM^cJ&>$)cxv+bHghhif+ z{Im08$KmimsB5caefTl4nyi}2y3Z_uU#mEm-t==gBINYs)&;y=8sdZnTbS0owi@m889I9O&UA$5I(j_CK$A?2Oo6F1U#6k0 zw`COco>P|bwIfzM(iInkT=MJ!m_*TLwvnjsM+*9YVhQH)p-&z$MI^*ta6gPL&wvbA8?Qk+)Vr`&ak&S$NWv$MhQpV@By-vFr5o5+sYUriKOD|hw zr4a$V4S8ISQ3#)wiE)nMvK}onMDf642^%}i2o8_2)={wE?pmQ&Xwx-X8zk%J_bme1 z*`J3ojWjudTF&d1{k!AlaRftWhsN+`n+D$1w>DxCl{YJ{7i>RRWOUBvs>o8MtiMNZ zwDWsEzoc+7u)2+!6a8MUxVD`FYH7p~nD@XyT>C z63so(82Fw4dAjj(JdaVAH6^J7lm-~dG#JHxC_Bq{_dffn?{zv{@9UY|$4WpHmdCDX zGu{`0LrA*47L(gzsZwKBKZr!fEwcZ(^?2B4r}x#b9S8f=l&2bp2gB27@ynvhw-KRE z!6x)1fI3|L$w2`2)i$VPj;7SYV3(cKKFC9_AaZr91!03DyJK=SH(uGkjHSua>#hE@xw8pzhhk28EN#81w zqF6O$tVJzaXJ79-&#pR`ebQr;#pSWOlT5V=We@Bq6czXe%ze<=oO5m=r@ zB z^JdFPMCu5%N0Rs;j^zKoG}2k9SquM1Hvk*~cT6h?eSd{XzCQsWd5VfB$M%5^E$;<{ zErLSvnUD@1j40nXoB-I1s(;KjlnLSA+eekg+>M!>;Izc0C%)+Kx??Jqdj|_zLe0+U z($x?7)nY`|OoeGS>VW2CdQ_toC*9Oau5E+tJccY$37c~{2afhPB;*0(zFWx-3e{x} zd{N0QMGe_{>;8qh<#+qhZM9bRCfYg3DK$Jfbc)nVL-Ep1c0B-<1qld?7=<8$lla{R zm7jha#UHnbw2E&!3f}Nm@bmeFkx13a0DHJ}!ZRdDki2)m2jXdVS#!fupXT7D9)|6b z)*kg16YxoOek^~CC+7f1tAA%!x9OC2GIXAvJEnHJ$TEPd{O+1(BYBUwtb0!m=ckkA z=f=Aa8$4!*umHd)S;?D*Q6A_MC;X;`tR@+s7%O+J=oC=Y#Db|78DvKUy2klbycOEH zq)a5SsNg9+2y6u8vp1hq>R2{NwGhAA`mV+AXiE4Wx?~34MKx^`Ry3zo@NKJc-j7xg zNty(sGCm13r)}sBC}Pp;dltArm$Z)?(ZQNL^fXX2-;D@K$*-=28=^SmCFGlw=<^VT zHC0BV#slaT2sX#@vy)MEara{Wjm43(kJ%u%R5CN_WnAyvU9(I#cf=FZLj2|rX;eXQ zU!;6_V6tcukOaaODnf$wAbXGTbqCBM?g^L+4Sj5He@%hQtKh%BAcYv<@04 zUW-G<6(q(PwD|7L6P)i3gPqx+++v|V-eW9nVv?oC6Do%ndbZeaCxR0>e70s6vp_y) zq&ia?wS7`GGi)m^sU~efgpDX(Dl$Z-2DO!6DrHov$bnW*0%x6T0U9jK<<_PS?Bt~J1LB%MR82mB4otU-2<=kVZMy`^+_AEOlO6OC|@ugA_WfyigI zv0%X-z=D|MJ1m~5LtDYpYpyjWNN8Rg2b8YX)Wn(K#J8~&Ghluc8z!1FGAAfie3>cy z@_uf*YLuL6&SZ*GgPv~vB(5vgqK}!7WXJK3a}IaOX8o5YPQ(5CY5%Q|z^lN*2glqD zI>lmDU`V(u4KwYZ_B2jB1!42$is9kTd`RPNAYOKWUetotvx5Mg->8%CZ>DN=U{f@P z@S0nJd;NwT*5cF(GtJfgoF>-Hd3&JK`R*vEtKSpsbI$JbCPzVo1{wL27p6n3rv2rx zHbwUnQ=DK2_#wdOeSbFuWD}~@GkjoAG8q22e(sGx6W~tOqZF#>CIgzPgX4CnV4kRx z3`elZ{S|^8CXNcZS(ld2xL2>rl*6 zmcQOhAAkO3dpqOMF5DVEZ=>kr66{ELMrHTvBZ*AJlOI07egEgtczt`v z*y!QiCFOHNcwmfJJSJHhJksC6$$-LcT$djP@+pU=_!@v$>ig}}s|c7}P|~XBA5nt2 zt2HvPKkg&~umSKG;>76X`K35>8>-{l%(s41d#OK4S&^-9F@RKEO5t@^4%L<&qNC9+4#qiRx8eUd!AR3V+Q+Mt@l3fK7tV6z0G+@b=6MDgElezb$3fN-p-xZe847+Ue%3nS92+m8H zSpI~HMhSJD;_Cxy3jiQSr~Lzu>dCI`sg5A2&W(i%35XtgVb#OVsQud}aQv&V)c=}_ z3#@Ym;A;5a@lF&BJ!@&Z+6#_;9*3a*icsr~ueu7k-EHXvux#&~}~;fFV|c&wANS)hHJvH!;M z$%UIqJ9lxIO&=TQTL1SUHvBkqrQj+>47r#Yepdd01)JVZq-{K5i~UCCX64)PUCdV- zu<+IcinUEiJHV#bR+%8C*QR2rmN0#nBq6~ZOs`(!Mu_M_zZMTV5g90e&I1 zDOrMR6w3lXf9CJoT01c0hvhX^?(S%S8?h$Ry>J!1xATWUr&|@f4f{1qe71walglT9 zE?xq4H)jAQa?!Hm({N32u(g2=yOqk2{@2LPVoFiUr$ZGF#&2fg4UL}>zv z=FkNuQQrgUWs_$ z#dgiT4K)Nt_1?#+!Bx)!i$5PkoW&JBJ8tgAN^5nT?pO26yK=WNb>AnBP6+hgM~_Y{ zHkn&rBs4z%d!AnoxZcN9eN4{-)w=JMGXNu%w7ABn#uivv@Yt6<>+eg}mv3=ROkP5x z%jHq;Klk~x-f!=ozl^N5|%l#^0@p*Li^#K2OM3D8KUk|uG#7ullF9SK5@0wi%9q$H} z-%D!pu1Ul_KC9N=v4ZluKBJ!_4TW5taX?WnTZahxI9uPkw2dY`o`Vh@@18e9CJ9XJ zTwfM}m1qFu^57n-ZjG*4AjIvQiM zU$6u20_aEt6?y%P>ixVNe*Uzg9ynb1{fu!S00)63wkH7*#03W#1OYLF2Ql1a zh6ITO1u+5X#sXpd|3k+vFpvf&kS(D69!Bk-r5!xTk{*aZJ0!>&*Js=U8;D>V6e!3F z7Rc2+thdttIF$c;nEP5Z$R!R)?)qO4kgv;-1sTvlKvwmkplX`>s6V&tkHJn5Pv3&} zmmucw)nA|}jJ_*g3ReR8=wKoeAe>&`K!od1KjnJAX9_T{(-+&e}f2q z8L&WezdUC;Up6K%!$=S;5|IBjywLdeMZgczsr`Q%`^w*UU^YGcz+YGgHjW zF=oxov}R^zhL|aiF=mW8F=Nc1pZEFRTes@|x%+2yj;1v|Dy>u+o$fAI9f_6x=zdNP zF^dOqIU(K>Af`_^TWS4@JuI|_qFWTO zP6W@BQ1$~^$VNDZW654Ye?E17n+kw*kE%f>^x#?;0A03)04{*IBJo8;q%ULY`d8z% z&%!CshFV%!FC;JRFcBYl&msH!+E*h1AOK(+tQQTa0WCC(=(4G8>HX+SezQ&zxp>&d z?icImI!{#jn|zo&b)hJvWXl@+3s>M3*l(wrj}@OKSA{|C>;^3Lt$W!&;e;1{5|P^V zg}%$HyHd#@M^%L(B?dbNkcj1utv@XAZKcu^xNGNEw7JoGj(Fb<%NwRlC+euz=*h?G zv(+$~fIOLWz9KHYPR`(FB-ebD7uMV~A2&nd3{0BQLC4~MdK)+Jk&GKXoK5jYd3bKF zY>(DJ>ldj(uGo@e7?vog*7{`kS9l(Q?_yDg;Phv$FW+~5zUe34RKeQGJe_?T`~tjh zWc7$7w_fqumELFJ`Qb-IJj~QnUD-{3A%e;Btp+$QydA`e%+?_QOX1qXhkhD+AmP6-=opdlhN#H zh|}vwie<|hHR*iGex;0sBb^spdqA<*52!(t7~d_Vk0U2tBw&sg#bc95Z+NkY?zC~! zNJ5A@KGhOYdeIs<-*^8#B?kGW?gZoOND~NKEt!|DDeVBBaEVrl!MGAOa+mLIt{;g) z(0uUk>xt!jU4jv$L1mTJrij32J}_Pw3B=oC6KkZGS1Ko(ueu1fehRzCuOIwpdAXn^w~Yi?1nQeE%im+%VwHhbrQU zqP1)Jd~~uIE@fmc2@JjoqRkmQst*PsE;X8%8Jr8zkudKEa|X+5G{Y4gwynmSX&{4J zWwU(etwHfgt!y)BUkJ+f40v1Ocl|?q18*9YTDkhaD$n}KrlG7xF-V{J+=ppKS>;P= z$LsEv{Z3oT?bW5&p7WUU_%iZ|);g|?cvDVt!*+}GxYxNS2w|(CLM^y`;FA^T$0u>E zJK~%0(oKP_*&7J;nwE11l}7i&49*0(KEiWSxIX6%Gb}F*^)KIueCe<^IjfFXy62@UdSPB?SBz=yMO*~S~E$B!hsZ*+ulbBDKp zi_2rl3Ht6gChGM=w9W1!Fvvj&RNv%~qMf;q>J^Jold_ zOvTt`Zc=6Ny*gb(rO1bh%gL|v2YG$P@p+$teSbg7DNU5qs$PBbA_z%j&%?A33q)iq zPo!5Y^I%NbMO)((jw14;#Fb%_i`fwGG&p`XUCAC*gR|R^J{oZI^h(PCdFRX1vr(KS(zmy!Tp{O<{EC%**`c%2G4VM}G5$OtW5R&Gc*;yV zQ03qS&1SWRmT%cX$sanu6%sC=tJA1hhtR?+)AXikmuC@QC4ulmaz?(Hil;*@hijrQ zDw9vn$;?t^DUT*sOt1S7Xc94cDVc3$RwvyWmFn0+f^AIE*_vcqUWerk7f+eK*MuHBJIP2QT)W4wx(jBMI7lG zdX()Iwt4IGICP(92*cC74`4J_2+cd4_NIE z@em@f^0L{aYvo6#k(+=s&~5SZO6rX@WuT#lpCkU1kVLd%CM$#O4O_+|Ha*;@o|d7n z8Je?X$H<-hwzy)-+Ygg&YV7p6QDdW5A(HPWtkm;D5D-`l{QhF$wU(edZ zk^p6J04*?M7Qi1=GFxmZI;{}_?s#Bl!0}XsOUdsne|L3y=8Fv$VTKE@gZSewH5dkb1;5$u5Qy$KD?UIEl&N}CRy@Jh|2EJ!em}h?A)1Y zkH8+mzv>y>iYmVW1@8RAS%XG^2w8hBGWXhZv{sYs_Jgg9Fb^jLnHyvxD3MgnLJj zRL9b$0j(b)cEs$69$1jYXn3k{gb}*^W4^EEL7s2jR4}Rf1DaV6uW$r*!dhf`tU#nTr1tqX*afDLTsKTOb z&E%`=>}2II7dTM~f7*@bsfFNKPUI$2WmbHPImVF+t1w5Zq;|#enYyj1>I)@zhOzIP zN#-d*h7n~G_beRJzd8qqXH1RW3D-SzcW-gjf9qau@7$8QquR0A;XA!l>$t2--wBxl z2;G3vVC&!8yjLD#zoi;qAAj>uG;&HQN9j}eX)#**#>MP8E~}MC(yzMp>KjvS=)tL~ zwT~PRFm*wK*i)+y0sfg{61?$b35yuf*ZoVA7?8`YQ%@4RyS&tg8}gx9@+wWh)^4Gb z<w8h7$M)vwi(G(}7rD=Z7`QyrAkHwMU z;;lYcVw{-7gGCTC6D6LT?IWU>FP{-M@>wwTk@6dyH^>dcrg1!z5s|xeyfQ$Y*_j4S z&P-IOk1r7x3;C}!8luCrPcB|CD!6JvD-dG88<56TaJhfqQyuGm8obSaxsSLl2$fDM zvP0`)eJj$t|Lp67LgT~|?33L7?BsF9zO&i=B)+{C2R_(<~7BB|*4M%lcQd zNPa{<-WA?=bxupcxDH}jwtHGbh)p?=?O&<@**RRf;`c2^?BsMUod+)aeq-HU0oxrv zF{~bIIW(zdx1!@GunJZjyhtuRf$GSy3YI3uNHSTp5!%S>b<|*F$uCvOPl1#^DFn;f z$N)Jc@@7WKr>DSMjEIQMFIlFwq8G|wz_@v;1$u32D&zQ%iTN72Yv^PtEa^W)!h2*KVFAncD6gCnk;Gub`5Q(kj?b{$l7yrp8xD)WD4%{D0{xZxLbrTV~v zarx%@PzxsMVuQq4q^(gVX(CO)w&DUY@DhbHa*!^D}Z$^C`ou z^K=8%Bv|cl1$P}4WLdtDa+OcQZ%ar?MTz&OVd(NOePmp(a72(bY)9aUJ7O|&RE^<} zU9C$td#^NWqTT;h7f`5|!BWYghtXF`%FAKPVHdiM$47i}7>ZkmGD?-NXjlE-(m1d) zeL(01c5k3!qec`**4@N9v1lgqRC>VHDT_;v%rk=jskXIzaYlV(|G<7AjPH3mLh>7Y zIpPpT-=GiLt`U4WC%MOP5vdo1Yh2Y(UdkW}-j~7~NW=u;_~d_G8Dd%SYs!i+9WfWs zR(hv}g@xVj8B&KwEWLG3eLG6*M}K>MeG@T7(=`Emd zU_8M~^!jitXq&|9*v9m{A&!4iS)W3xe6z)iCg-;0HjR2u`-_o0#|DZ({hWMvO>bpW zLjg`fnfPbP#EdNIRgd4I*E%|mH5-Q--BNBKIrtTf4@Faz(`5L1P1B@AO4{d%Ew*Ic z?%O30TV|^*W829NMS7tWSofzRSG04`bovwHcM4dHPSI-#X|S~Ya3|aOqk*qu#lDjo zjhOb?lQSpQSfp~Q0<0cU2FIxgWKDT;%13po z37swZKMV=jzgJ%;*#WC%PD#Zu`rG%>Z*(?RKb08Dd0HWVp*Ww~np$@=6MhJu4LNEI zo~=*S^o?7`}wEZ#9J%gQspL7D46EvZ%0}lYPa`Ab&rx4N-sY_bfnCeXtT14Bg35x5U z_>~&0HXZfzQHxYzKrQK@Q5f}`bH-a{aSPU78wPCtm_xsU{-n*yQf^@;XZUjOTf2G- z*47mV>y?v^qJhCh(N5V&9oef@g#3r>Q`Kfx_1}fM?%O8q$sOYM|5eRI0Ov3vJjm&en-^IE7kb zwfrTOt2n++IY7`b6kSmv423%tO!af7(oby9iqOKiht42jTwBXHwU-*#vK}<#GbZWo z>2@jAR&rS6_-Cdnit!08DmB6gx*{6|FXcIrBkJAjr?!HtZ%NK(i?$THX@)da6fawq zB|WYr@jw)21!-OEuw}Cg`c79-Wa{k!t2l{7JV(^BZh8@nje>Z z%#MENqHj&13*KK;PEHqOW0p~Xyid_`8MiNeSc(xG?d3^l|$@WM0X+Coe z*K-Re8^9mdIa*27m;2#LC+4lWwR0!(d+^f}KqjK|IfqP&+=-jLECoa-M&mYFM}T&) zDMx(s;U~YPUc%gC`5!*NR87-A(5aC6QoEB1dyw2>RzrbZsE9oG!5Z4cnVq) z{22~Et!@R+*zyYv`$3#5`7ozoY8Zu;QEpg;Dk2k5j63>9>Z8|+-LK5-#B!$0#W#b3at(LI-&>W_H79UwWXX6TQHL4H((XqkPU<@C7OiC|`A*!J0jlofZ2bOxJEv`A_JeF8Rs;PTcFvnz7zM=J5(In;S{T>I8U8L1mFvfA?EM+CmD+JXjX&p= z(vH`3IQ=@HTl(}OFD*qlRqCFb$64`--Fw`%dAi$q%$sOQfmXSFrO5lp*OM(QqGni< z*5L?CdQ^6Iz2Gv2mNEWyeumG{vPKlmBTJefGX<*3pait4Vuq3DqvNL%c_K2r$dIfs zYEI$K6WwVbkS)--6(K5WX*Pu?3I$M(hbTFR0=W3I4R0tIK}=*|uzt~G6<$N$!@pP{7nl3nSME;223u-}}v-Y3Xk0NUxRMQN_rZ z$!Z?VkP5oN?h^6mS`lYN@wLGry>C6`43?tS;7j03-CqJ5*TSDddRS$nkj}+gBPV|(ewytsO&6TE;;vB>Dlvg zYrW0e@c1ahQgx`*OMb~Kxe3-=%g(iHKpKXoI)b_+BV_dk#5I`L2a>0}5`hXCP3Dy~ zPM3e1$abs0lkXnn&)i13z@NpMI% za6wtP$w|`dkm34))pt1RlcE8!$SO~NGFVD_)m80^?$txmv^O{OE7)^lEiAF7fQt2e z^N<6lFpzsyjJq~;(~g9{l|jDn@=Tg1rFyZcx71A@R$(cCV=CF}8L^!b$*FmJ33yVn ziLiB7J^ycKQ~)$4=YB-jlaf-nPUDyO4p62HSMp@9uSBZ`V~?dqX`>l;0Y78(bVMYj zUPrj*LfFJSDG$|j1OVGy9yKOikD?!n%h#2`b}K#QpyZ=^Sq2Z=2(8kbJN!I%@KSZ9 zEvlP>OJGgNogh3Z+_r(xO-fN{{%7{5R4-e4a2_DA&obpRm67F!`|~5LiGuimG|1=| z{}CqTkzB*2Nkl&V3&p_~*=O!!>R=3Ig2$RE3ySURmS9h43ibFfBnnaz_t*+{zK#dJ z>VizvY>(w^KkHF`21wFS?lKlI|f__A0l*if=mR4L-D;mVQ#M!1lr28%zuvPvfd=|LI; z!J6T&(a^QS!J3wZXQsQJe?hirlpWZV9;&Fw6dI`0xo7Yaiv2~{D^2K!WsdtxLCwVz zsHLBZqn#Y4Xv4X0%h^mBTue1sy;`s}QLJFaB&0;zEK`TDkjt`*cez7?+Z!p0e)A{J zA!|S#LzA3Z2;eF6)Df4hvfGhm_Lm+YnVyYv<0(^#VvltE+{mJbCj)s6d9cB*P$KI0 zz9Rstjz?P_)xr>iIA#L3Zm^cemjpE!tM9OGKOyEfRX9o8LC{XHE<0uGCC<+ zyv!+3`zW;c&8kWGjJU!lR1zhWV>Pccm%8@S??VeI#ieNZ-DDzWE2m`>6eA73(3~cs zA*0KWh1G8PeEL{O#seMvKqYe1G*AAF$sokNI?_4e8@Z}uS+p@nmMJtpwMyaPY;A%a z$zDYUIYpZWMQLVL9&8E+r5O)Th`-4y0de^?JTSN6ts%L=O>t*DO_I}{1OYv?+qLj^ zJ}%2PkqX8T|3Fnm1@2PYv`SKIE6%*3GwR#q7z~sw5kc~A?F`T}6ZzZ3kr7dzv#;s= zpxD8;;k3!n1$XNz%k%HqYX(9Ng@sLY9qLbJlZaiF*gXY*9*oH~m6Z(f?^Gp5mLg-H zJGh$EPXGXRU$bzCkCX{e8$-28X~d|qiR!4$tp#arYC0EjzAHHaBb+XlixM1`07R87 zdA)BN$cPU97PFvX(GfwH(pw2$2J%L1%2t1#LhM)NA6c{&PO$0`5hX0J-kOf;6y`L< zKaGX&sA1$O2DD0x*vQ~84^<%Fy|`b=%FeaRwxn1*MhxMX!<*e*!B@^cccB6$5~6=B4EFPVM)l**$7Gb% zDz}#|GX79pJCE3-N{Y6Mi^UhMrv+m&IaU-)P>5m}a$~sqN!Bn+#OH0LMV5$`I3?kb zUrylLb_fyt#wKu6jQb%aY@|MlZ^=~0=n-*4E>FTS|Jh`3VkzXprWiuD%ceuhnUmcx}6BtN)WN%yRo6$}Z9EGg=NLx_-MJ z(nB?ktq<$zb(f`QdRj^STxdm(UTWA^@DzGF!qgX#&ct4Q$@;Yru)fn7*o=QV=2!qF0;??fQa=Q4e z__Sc#aUJt}@UpbX|K_RD5~haGj3{Owo$onXp-3{E4gfKmSNCG;f?sl{%(@kPfGsxe zOUwuoAsl9<6b>A6X3tW5Illfq@MViWmV~6Xc-(|qX7`%CBN!^+CH58^Fw!rFqcbOV z)~+GqBifxO!W{c@dViar|3Y<`)OkHMb?Syu`x^0VMC?jXS6sQh3x9&_dPdd{og^}q zr0%17&(T2C7FN%CF58(I(}{{#Hv~8i3DO5W;;W@FLF-g`4KXLK-i4R{*3WeGkF!T-ZK?O{P#{360J+)+j1O#mcBhL z%;S>y>9kJ$2AHX>#bisYUj!VOJWk`y~W2pPN|_Gt?GM` zPnUWh&-TBrm#QV%<6NB6ZAb>KSZ8b-*&rSw*!Q|UhOE-H_(J=xIK`onnaTX5pwI~3 z$NDOmv(~ix=SxSgyMghM5LL&-7_x6XhyB)pJMg7}b5^R|1^q1AlI-;?P47=md4j^o zb(dZW85p+{{4-D>g?GnL9rIMEjPR{ne$Y1)(CbHbmI4!V5#K|TUxm%Z_RgKRM-5qf z_urQPKnt?IOI7!je3f}3i=&Yf!JP@5PQz-^r{)yCEqg0^k@M>SwJ$d>%GV|QV%~Ex z9NlB@bDzo>hPu-mvoRdo<0oFes$0HdG&D&xJrcIp17&Q3(kr)CLxn$Q^xg?hm>3vb zvfH~qI0#X`vx6fEK~Cc@0V_{txi$4YGrRmg;k6A^SXWaMPs%zi4|hrUe>7JgH~s2* zzu<%;fBdV7xfeuWbhL`Q2E(1xHQsIAvO*c6B|}|CNDaqa2r*T9N1I;8E>8N1#Q5)f zy@}A564o!<`5FO_uz>A$j!u9R03=Y74EvUCFnegJy?vWeK&8Rf^v>J;$?;XGO zaw6EFFK%?!OA~1E&$@Z#i|rYVA}_!qg9M4xQ05SXT4+yB%F|1)kK% zx1wNr0%c4Vc`RC53`Y%i#g>|-iXnFDKGl<1Z8+Qc-RB{Virud9dd_Ql&^lkah|d7K z3U6EwezbNB=)=(Mcp4R6Gx-5Yu}xH%1KI&FnD{j#S0t&INlGw૙M7> z^Qt7TwOPSYl^dul8@6yKCEuQm74=T9D>~>Qjk4UN#4n~Rg=NdhL8R^k(D)t>c|6_- zbz4LUh5I>v5Sq1H#9r$ZL>Hd(zw3Z}*ouW^5Pmk%fp+sRdj7&nMIQxXCRUwKh-7w= z5`?an8@~iSgiPJJtxaClZQYr7h0Zu@2ez4*n?x<+viV@F#^60Xf@)rQeZKCg$fYD@ zQ|@6q^Of%Rf|CbgAZZQfiPcQXTeFSBY`2Zwyx>lrR5hlcKqi%+Tlf*dScpR-h|cl| z8f{Z;Ek5T5O<4|o%&UrJ1;9!H8*yk_Pn|nLUyF1^7eGwCWQ3v*bTA5!46OQ>K$LF~ zJQx15{s+G>>%$Uk4KfM8T*g3(&>cAYsNgJXmoiKwISSV{*lh0(zE9Wgvv*`tp|%7} zukr8(I7hQ%f>;jCR!Y863&e6BR6Peg@zEeRU#|ZX%u%u=X`hAgRUgDu)W;!UU%Mvc zzM%3EsSti8>-TH0dm#3y@wAhOktJpLvZC^`Ipq7@jf{~N6!Q2Mhj zNKC8S<@WGyb!xf4=kcJ$3xCbP461n`YYaCo(=;#c^~KRnxc9io^??2QRpfQF*SF;r>WM-EFglBB9_G^IL;P8y4|q6QrrjT#?oT=$x<&O9kKAEH!7 zisJa*zY?z)eHoQM5Gv-JwTZtzp!&fZJ6%o2>3tSPNX9jTl2Crp+Yfj$L+v+B z*Z__?zYH1sZsESbOLJ7Ppl(&dX2BbAO+SEUA25ZvMa0$>!T#bdb9Kfef4D+wPO6<| zg3aBR8q}Kw9A^ijHctXK<#rju&nnfDi@R6yoixyEdn*T@OOlC#;#+QtHLq)i#*g0C zboth+y<$py(&o#k6f9J+7|W@Wvn&IBWP^Vn2U6(6@2pFrPf7M#3jTOOAkNnE_wfc% z-lgVx9CagRV3jO508?n*N)twia2oEEu&^eao%4jh-s2;l6$EcvnQbj`t~?XPU|Gy? z6nfQ+&I@suL7Tu;~v2?`FqBv8zC5oeP2JF0}YJoDJCACXJimnWIxWDB~&y2`r| z<2l2FgDQ}q90S!rD-TS6Jw~_2?PvH_b6q1pN2?Ed9z8wK4SfIjuB&Xg2U7!5@DCK= ztrmY1tYLf7lhsXc-a_%|psVr5hA0fk?jkI1g49k={S(qh#DGfwL!P($FC*o(dq<+F>(s3l zL!XeUoaBStij@jWqe7!*FRmD`GAZBY&(A+7W1^O*+}+pYmKy6eIRnKv<3&nU#6+p# zB%lwn(8KgUDUz#+O*W?dwpE=7re_s*Q`PfX)KsmU>vtNHH(#g)C>?U zB`Q=EwS-PvhQWQrMbnaF;xBB7;hoJ$K_NVgE0{Qr)lpBBQ~EYF%3h`70nAN@l?e;g z3kpV-6A503PFIKf5iL-z9IWP9#8T1HRf?smSBdvA(kkAoSm`2u+2G`4Y85iGLJoU% zyN99dEiOCGyyU{Z4h9$Kg8GxdxO|ajuT?Q3!M)XpPgf*Rnh9o$67O_AHe(dk8AfrL zRMxYO&Bhlgt1s>aL6M^-MEL3c8Ut&2a_?I}g~hpqwCde!7N31l{SZ|1%4%!<@GvBq z>;9xU5zS;+J=D~RztGKYtb*KS26)O=@|1SO;76+U*S9$D>Aeup+wKuEpLU)grUr4& zmW@fHW2VBbd(RaE=Ajaaw8m z*m(qRm3mL-Hcf(xc*Q-lP44DMl@KUV2by2*?bB(Je3NKD=+a=|$xwmmOK3rsa_Ow( zm#APUMyRQ@k#r7_DIJ@d9Xk}S0vMk4``29j3We;lKLodHkxJK&-}THj@eRM&0GzSUR-mmWx@ zwI4U`%k1F==qO6Z?vudUbMdG5k_~o23k70DboND@=m+_Kbni zS+w5f)&*-@g(g1QMcvup52EcEZ||qCS@hz5?}slJE{`UR-J9ARgYg$fS0Z~owq~z5q*Q>=nXtv zxWrlRI=AcE8!*~)UOMn6J%ii>7H@46oRYCBUF-2$TMU+}ezhj()e?^mOGfj?vb{{U zuur}rys2nM&^AGJ{n^WYEphX9 z5igc%&CJjpv)QjI`I3McYtc)b)7+s>c^B-0FJ7Zcf!Qja#Xt0I2#CRumbH+NV>ptf z|Iii|p}nCfQ^xstDq8EcqIvGSH*i=Ri-d{<;!EEvJo?q*K}^T#n{?9#W7V!QQHn)Z z{?jm|ZnM=uj}y&oCDqF9liioL1$sfP<#U?=6>g1ldOK$4e)fu7m16{_ggq3n^@ZMI z__z|gZa{*eVcvOQK8tkd&vUa4Y?!)p)5~Q^`BZU7*4ZphRB!!$;5W3?59n8SusKk9<04w8CYioC?fQhK@ z%;@qML|h>STeSQrsG@p8!1X=PtH$&paNrj_JyHmi1_oYEoLK`hF`Gxons2x34^T;~ zyIB|HzkGhzAKbZ(8iMok4)%@w=YVtt|Gd_-EBY)HL+y1(lYvD zf_m`Z4Gm(!G`PJcy}`cjn~;Ij6A&SfaR1KqmAF@YfJAUK-!Gnax1x?xmzCiJ5H47ZT7g=nIx+9G zhkSmM5i)5s`TXG6=j$hp9`aff=83gJZ`8g7)p=yWS+Rdaep<4VCE}4EcX*|e&mcZAEb~#Ra z{rgho>80Dpr(3s8uae{-U;7bmo~7`HY`o~cH;w6^9YI6__?V0ul#=KDjeRh1d<*yUE31tkj>_mT8*9Eo4JjuetEL%La zRu9UTgkm@#W6PgrDSqfvEJF|&z-b0)3t~S6XL&X_4O1$;oyB24v`Ti*Z-km*^ z*s^l9>F=nj3P30@fDA|w5k3KE51)X9r)bB;1@6E?-^0(@uYg~OfyR*NkSVOdPhdt8 zAQm`=1V{jh0sJ6n!80U4G%zal2XO`j=bl31{lm~hdNPBbNq`cN=0admQlK&!fApamy9sUI>{{Y_Te?jU$z`WvLu=)>h9Q_v%Q+xp5{eQvd4*+b0 z1pYf<1qF~DxCR7*Hz|PfKy^4En4S_S1Ehclf;}jKn!xLijVVf?2CxkQ2qvTY=wpBg z1Updy#efP}KyVWkP#8f42MA%}X6fM00#2j`VuL@#9{EZr@ z4#fTh1gjAN3Bl0>KxD8m4Uit__h0Ig0Eh%W{)gK6FSS7fzR+ z{!5V%{%eB-&Z7kq15m-}^gv?pIxUbJkn+zq2^gLThzJ&>1JVQj{!2LhLwpQ_1kR-c x5(ABh|8sN_@FpFQ1336EA_BA01G#`SB>&k*1kNS?ut}r`qQO*u1YSTu{12tA4TS&z diff --git a/Inc/comms.h b/Inc/comms.h index f293f09..6bdb730 100644 --- a/Inc/comms.h +++ b/Inc/comms.h @@ -19,10 +19,15 @@ * along with this program. If not, see . */ -#pragma once +// Define to prevent recursive inclusion +#ifndef COMMS_H +#define COMMS_H #include "stm32f1xx_hal.h" void setScopeChannel(uint8_t ch, int16_t val); void consoleScope(void); -void consoleLog(char *message); \ No newline at end of file +void consoleLog(char *message); + +#endif + diff --git a/Inc/config.h b/Inc/config.h index bc8daf8..5b37992 100644 --- a/Inc/config.h +++ b/Inc/config.h @@ -1,11 +1,14 @@ -#pragma once +// Define to prevent recursive inclusion +#ifndef CONFIG_H +#define CONFIG_H + #include "stm32f1xx_hal.h" -// ############################### GENERAL SETTINGS ############################### -// For variant selection, check platformio.ini -// or define the desired build variant here if you want to use make in console -// or use VARIANT environment variable for example like "make -e VARIANT=VARIANT_NUNCHUK" -// Only one at a time, choose wisely ;-) +// ############################### VARIANT SELECTION ############################### +// PlatformIO: uncomment desired variant in platformio.ini +// Keil uVision: select desired variant from the Target drop down menu (to the right of the Load button) +// Ubuntu: define the desired build variant here if you want to use make in console +// or use VARIANT environment variable for example like "make -e VARIANT=VARIANT_NUNCHUK". Select only one at a time. #if !defined(PLATFORMIO) //#define VARIANT_ADC // Variant for control via ADC input //#define VARIANT_USART // Variant for Serial control via USART3 input @@ -13,12 +16,10 @@ //#define VARIANT_PPM // Variant for RC-Remote with PPM-Sum Signal //#define VARIANT_IBUS // Variant for RC-Remotes with FLYSKY IBUS //#define VARIANT_HOVERCAR // Variant for HOVERCAR build + //#define VARIANT_HOVERBOARD // Variant for HOVERBOARD build //#define VARIANT_TRANSPOTTER // Variant for TRANSPOTTER build https://github.com/NiklasFauth/hoverboard-firmware-hack/wiki/Build-Instruction:-TranspOtter https://hackaday.io/project/161891-transpotter-ng #endif - -#define INACTIVITY_TIMEOUT 8 // Minutes of not driving until poweroff. it is not very precise. -#define BEEPS_BACKWARD 1 // 0 or 1 -// ########################### END OF GENERAL SETTINGS ############################ +// ########################### END OF VARIANT SELECTION ############################ // ############################### DO-NOT-TOUCH SETTINGS ############################### @@ -147,6 +148,26 @@ +// ############################## DEFAULT SETTINGS ############################ +// Default settings will be applied at the end of this config file if not set before +#define INACTIVITY_TIMEOUT 8 // Minutes of not driving until poweroff. it is not very precise. +#define BEEPS_BACKWARD 1 // 0 or 1 +// #define SUPPORT_BUTTONS // Define for buttons support on ADC, Nunchuck + +/* FILTER is in fixdt(0,16,16): VAL_fixedPoint = VAL_floatingPoint * 2^16. In this case 6553 = 0.1 * 2^16 + * Value of COEFFICIENT is in fixdt(1,16,14) + * If VAL_floatingPoint >= 0, VAL_fixedPoint = VAL_floatingPoint * 2^14 + * If VAL_floatingPoint < 0, VAL_fixedPoint = 2^16 + floor(VAL_floatingPoint * 2^14). +*/ +// Value of RATE is in fixdt(1,16,4): VAL_fixedPoint = VAL_floatingPoint * 2^4. In this case 480 = 30 * 2^4 +#define DEFAULT_RATE 480 // 30.0f [-] lower value == slower rate [0, 32767] = [0.0, 2047.9375]. Do NOT make rate negative (>32767) +#define DEFAULT_FILTER 6553 // Default for FILTER 0.1f [-] lower value == softer filter [0, 65535] = [0.0 - 1.0]. +#define DEFAULT_SPEED_COEFFICIENT 16384 // Default for SPEED_COEFFICIENT 1.0f [-] higher value == stronger. [0, 65535] = [-2.0 - 2.0]. In this case 16384 = 1.0 * 2^14 +#define DEFAULT_STEER_COEFFICIENT 8192 // Defualt for STEER_COEFFICIENT 0.5f [-] higher value == stronger. [0, 65535] = [-2.0 - 2.0]. In this case 8192 = 0.5 * 2^14. If you do not want any steering, set it to 0. +// ######################### END OF DEFAULT SETTINGS ########################## + + + // ############################### DEBUG SERIAL ############################### /* Connect GND and RX of a 3.3v uart-usb adapter to the left (USART2) or right sensor board cable (USART3) * Be careful not to use the red wire of the cable. 15v will destroye evrything. @@ -188,22 +209,6 @@ -// ############################## VARIANT DEFAULT SETTINGS ############################ -/* Default settings will be applied at the end of this config file if not set before - * FILTER is in fixdt(0,16,16): VAL_fixedPoint = VAL_floatingPoint * 2^16. In this case 6553 = 0.1 * 2^16 - * Value of COEFFICIENT is in fixdt(1,16,14) - * If VAL_floatingPoint >= 0, VAL_fixedPoint = VAL_floatingPoint * 2^14 - * If VAL_floatingPoint < 0, VAL_fixedPoint = 2^16 + floor(VAL_floatingPoint * 2^14). -*/ -// Value of RATE is in fixdt(1,16,4): VAL_fixedPoint = VAL_floatingPoint * 2^4. In this case 480 = 30 * 2^4 -#define DEFAULT_RATE 480 // 30.0f [-] lower value == slower rate [0, 32767] = [0.0, 2047.9375]. Do NOT make rate negative (>32767) -#define DEFAULT_FILTER 6553 // Default for FILTER 0.1f [-] lower value == softer filter [0, 65535] = [0.0 - 1.0]. -#define DEFAULT_SPEED_COEFFICIENT 16384 // Default for SPEED_COEFFICIENT 1.0f [-] higher value == stronger. [0, 65535] = [-2.0 - 2.0]. In this case 16384 = 1.0 * 2^14 -#define DEFAULT_STEER_COEFFICIENT 8192 // Defualt for STEER_COEFFICIENT 0.5f [-] higher value == stronger. [0, 65535] = [-2.0 - 2.0]. In this case 8192 = 0.5 * 2^14. If you do not want any steering, set it to 0. -// ######################### END OF VARIANT DEFAULT SETTINGS ########################## - - - // ################################# VARIANT_ADC SETTINGS ############################ #ifdef VARIANT_ADC /* CONTROL VIA TWO POTENTIOMETERS @@ -317,12 +322,24 @@ +// ############################ VARIANT_HOVERBOARD SETTINGS ############################ +// ##### ! NOT IMPLEMENTED YET ! ##### +#ifdef VARIANT_HOVERBOARD + // #define CONTROL_SERIAL_USART2 // left sensor board cable, disable if ADC or PPM is used! For Arduino control check the hoverSerial.ino + // #define FEEDBACK_SERIAL_USART2 // left sensor board cable, disable if ADC or PPM is used! + #define CONTROL_SERIAL_USART3 // right sensor board cable, disable if I2C (nunchuk or lcd) is used! For Arduino control check the hoverSerial.ino + #define FEEDBACK_SERIAL_USART3 // right sensor board cable, disable if I2C (nunchuk or lcd) is used! +#endif +// ######################## END OF VARIANT_HOVERBOARD SETTINGS ######################### + + + // ################################# VARIANT_TRANSPOTTER SETTINGS ############################ //TODO ADD VALIDATION #ifdef VARIANT_TRANSPOTTER #define CONTROL_GAMETRAK #define SUPPORT_LCD - #define SUPPORT_NUNCHUK + // #define SUPPORT_NUNCHUK #define GAMETRAK_CONNECTION_NORMAL // for normal wiring according to the wiki instructions //#define GAMETRAK_CONNECTION_ALTERNATE // use this define instead if you messed up the gametrak ADC wiring (steering is speed, and length of the wire is steering) #define ROT_P 1.2 // P coefficient for the direction controller. Positive / Negative values to invert gametrak steering direction. @@ -382,7 +399,8 @@ // ############################### VALIDATE SETTINGS ############################### -#if !defined(VARIANT_ADC) && !defined(VARIANT_USART) && !defined(VARIANT_HOVERCAR) && !defined(VARIANT_TRANSPOTTER) && !defined(VARIANT_NUNCHUK) && !defined(VARIANT_PPM) && !defined(VARIANT_IBUS) && !defined(DEBUG_SERIAL_USART3) && !defined(DEBUG_SERIAL_USART2) +#if !defined(VARIANT_ADC) && !defined(VARIANT_USART) && !defined(VARIANT_NUNCHUK) && !defined(VARIANT_PPM) && !defined(VARIANT_IBUS) && \ + !defined(VARIANT_HOVERCAR) && !defined(VARIANT_HOVERBOARD) && !defined(VARIANT_TRANSPOTTER) #error Variant not defined! Please check platformio.ini or Inc/config.h for available variants. #endif @@ -441,3 +459,5 @@ #endif // ############################# END OF VALIDATE SETTINGS ############################ +#endif + diff --git a/Inc/defines.h b/Inc/defines.h index bdf0387..065466f 100644 --- a/Inc/defines.h +++ b/Inc/defines.h @@ -19,7 +19,10 @@ * along with this program. If not, see . */ -#pragma once +// Define to prevent recursive inclusion +#ifndef DEFINES_H +#define DEFINES_H + #include "stm32f1xx_hal.h" #define LEFT_HALL_U_PIN GPIO_PIN_5 @@ -180,4 +183,7 @@ void Nunchuk_Init(void); void Nunchuk_Read(void); uint8_t Nunchuk_Ping(void); void PPM_Init(void); -void PPM_ISR_Callback(void); \ No newline at end of file +void PPM_ISR_Callback(void); + +#endif + diff --git a/MDK-ARM/mainboard-hack.uvoptx b/MDK-ARM/mainboard-hack.uvoptx new file mode 100644 index 0000000..c04c5d7 --- /dev/null +++ b/MDK-ARM/mainboard-hack.uvoptx @@ -0,0 +1,1636 @@ + + + + 1.0 + +
### uVision Project, (C) Keil Software
+ + + *.c + *.s*; *.src; *.a* + *.obj; *.o + *.lib + *.txt; *.h; *.inc + *.plm + *.cpp + 0 + + + + 0 + 0 + + + + VARIANT_ADC + 0x4 + ARM-ADS + + 8000000 + + 1 + 0 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\Listing\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 1 + + 18 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 5 + + + + + + + + + + + STLink\ST-LINKIII-KEIL_SWO.dll + + + + 0 + ST-LINKIII-KEIL_SWO + -U -O206 -S0 -C0 -A0 -TO18 -TC10000000 -TP21 -TDS8004 -TDT0 -TDC1F -TIEFFFFFFFF -TIP8 -FO15 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512.FLM -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM) + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512 -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM)) + + + + + 0 + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + 1 + 0 + 2 + 10000000 + + + + + + VARIANT_USART + 0x4 + ARM-ADS + + 8000000 + + 1 + 0 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\Listing\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 0 + + 18 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 5 + + + + + + + + + + + STLink\ST-LINKIII-KEIL_SWO.dll + + + + 0 + ST-LINKIII-KEIL_SWO + -U -O206 -S0 -C0 -A0 -TO18 -TC10000000 -TP21 -TDS8004 -TDT0 -TDC1F -TIEFFFFFFFF -TIP8 -FO15 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512.FLM -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM) + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512 -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM)) + + + + + 0 + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + 1 + 0 + 2 + 10000000 + + + + + + VARIANT_NUNCHUK + 0x4 + ARM-ADS + + 8000000 + + 1 + 0 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\Listing\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 0 + + 18 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 5 + + + + + + + + + + + STLink\ST-LINKIII-KEIL_SWO.dll + + + + 0 + ST-LINKIII-KEIL_SWO + -U -O206 -S0 -C0 -A0 -TO18 -TC10000000 -TP21 -TDS8004 -TDT0 -TDC1F -TIEFFFFFFFF -TIP8 -FO15 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512.FLM -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM) + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512 -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM)) + + + + + 0 + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + 1 + 0 + 2 + 10000000 + + + + + + VARIANT_PPM + 0x4 + ARM-ADS + + 8000000 + + 1 + 0 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\Listing\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 0 + + 18 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 5 + + + + + + + + + + + STLink\ST-LINKIII-KEIL_SWO.dll + + + + 0 + ST-LINKIII-KEIL_SWO + -U -O206 -S0 -C0 -A0 -TO18 -TC10000000 -TP21 -TDS8004 -TDT0 -TDC1F -TIEFFFFFFFF -TIP8 -FO15 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512.FLM -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM) + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512 -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM)) + + + + + 0 + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + 1 + 0 + 2 + 10000000 + + + + + + VARIANT_IBUS + 0x4 + ARM-ADS + + 8000000 + + 1 + 0 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\Listing\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 0 + + 18 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 5 + + + + + + + + + + + STLink\ST-LINKIII-KEIL_SWO.dll + + + + 0 + ST-LINKIII-KEIL_SWO + -U -O206 -S0 -C0 -A0 -TO18 -TC10000000 -TP21 -TDS8004 -TDT0 -TDC1F -TIEFFFFFFFF -TIP8 -FO15 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512.FLM -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM) + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512 -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM)) + + + + + 0 + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + 1 + 0 + 2 + 10000000 + + + + + + VARIANT_HOVERCAR + 0x4 + ARM-ADS + + 8000000 + + 1 + 0 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\Listing\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 0 + + 18 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 5 + + + + + + + + + + + STLink\ST-LINKIII-KEIL_SWO.dll + + + + 0 + ST-LINKIII-KEIL_SWO + -U -O206 -S0 -C0 -A0 -TO18 -TC10000000 -TP21 -TDS8004 -TDT0 -TDC1F -TIEFFFFFFFF -TIP8 -FO15 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512.FLM -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM) + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512 -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM)) + + + + + 0 + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + 1 + 0 + 2 + 10000000 + + + + + + VARIANT_HOVERBOARD + 0x4 + ARM-ADS + + 8000000 + + 1 + 0 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\Listing\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 0 + + 18 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 5 + + + + + + + + + + + STLink\ST-LINKIII-KEIL_SWO.dll + + + + 0 + ST-LINKIII-KEIL_SWO + -U -O206 -S0 -C0 -A0 -TO18 -TC10000000 -TP21 -TDS8004 -TDT0 -TDC1F -TIEFFFFFFFF -TIP8 -FO15 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512.FLM -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM) + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512 -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM)) + + + + + 0 + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + 1 + 0 + 2 + 10000000 + + + + + + VARIANT_TRANSPOTTER + 0x4 + ARM-ADS + + 8000000 + + 1 + 0 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\Listing\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 0 + + 18 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 5 + + + + + + + + + + + STLink\ST-LINKIII-KEIL_SWO.dll + + + + 0 + ST-LINKIII-KEIL_SWO + -U -O206 -S0 -C0 -A0 -TO18 -TC10000000 -TP21 -TDS8004 -TDT0 -TDC1F -TIEFFFFFFFF -TIP8 -FO15 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512.FLM -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM) + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN1 -FF0STM32F10x_512 -FS08000000 -FL080000 -FP0($$Device:STM32F103RC$Flash\STM32F10x_512.FLM)) + + + + + 0 + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + 1 + 0 + 2 + 10000000 + + + + + + Startup + 0 + 0 + 0 + 0 + + 1 + 1 + 2 + 0 + 0 + 0 + .\startup_stm32f103xe.s + startup_stm32f103xe.s + 0 + 0 + + + + + Src + 0 + 0 + 0 + 0 + + 2 + 2 + 1 + 0 + 0 + 0 + ..\Src\bldc.c + bldc.c + 0 + 0 + + + 2 + 3 + 1 + 0 + 0 + 0 + ..\Src\BLDC_controller.c + BLDC_controller.c + 0 + 0 + + + 2 + 4 + 1 + 0 + 0 + 0 + ..\Src\BLDC_controller_data.c + BLDC_controller_data.c + 0 + 0 + + + 2 + 5 + 1 + 0 + 0 + 0 + ..\Src\comms.c + comms.c + 0 + 0 + + + 2 + 6 + 1 + 0 + 0 + 0 + ..\Src\control.c + control.c + 0 + 0 + + + 2 + 7 + 1 + 0 + 0 + 0 + ..\Src\eeprom.c + eeprom.c + 0 + 0 + + + 2 + 8 + 1 + 0 + 0 + 0 + ..\Src\hd44780.c + hd44780.c + 0 + 0 + + + 2 + 9 + 1 + 0 + 0 + 0 + ..\Src\main.c + main.c + 0 + 0 + + + 2 + 10 + 1 + 0 + 0 + 0 + ..\Src\pcf8574.c + pcf8574.c + 0 + 0 + + + 2 + 11 + 1 + 0 + 0 + 0 + ..\Src\setup.c + setup.c + 0 + 0 + + + 2 + 12 + 1 + 0 + 0 + 0 + ..\Src\stm32f1xx_it.c + stm32f1xx_it.c + 0 + 0 + + + + + HAL_Driver + 0 + 0 + 0 + 0 + + 3 + 13 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal.c + stm32f1xx_hal.c + 0 + 0 + + + 3 + 14 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc.c + stm32f1xx_hal_adc.c + 0 + 0 + + + 3 + 15 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc_ex.c + stm32f1xx_hal_adc_ex.c + 0 + 0 + + + 3 + 16 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_cortex.c + stm32f1xx_hal_cortex.c + 0 + 0 + + + 3 + 17 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_dma.c + stm32f1xx_hal_dma.c + 0 + 0 + + + 3 + 18 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash.c + stm32f1xx_hal_flash.c + 0 + 0 + + + 3 + 19 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash_ex.c + stm32f1xx_hal_flash_ex.c + 0 + 0 + + + 3 + 20 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio.c + stm32f1xx_hal_gpio.c + 0 + 0 + + + 3 + 21 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio_ex.c + stm32f1xx_hal_gpio_ex.c + 0 + 0 + + + 3 + 22 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_i2c.c + stm32f1xx_hal_i2c.c + 0 + 0 + + + 3 + 23 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_pwr.c + stm32f1xx_hal_pwr.c + 0 + 0 + + + 3 + 24 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc.c + stm32f1xx_hal_rcc.c + 0 + 0 + + + 3 + 25 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc_ex.c + stm32f1xx_hal_rcc_ex.c + 0 + 0 + + + 3 + 26 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim.c + stm32f1xx_hal_tim.c + 0 + 0 + + + 3 + 27 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim_ex.c + stm32f1xx_hal_tim_ex.c + 0 + 0 + + + 3 + 28 + 1 + 0 + 0 + 0 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_uart.c + stm32f1xx_hal_uart.c + 0 + 0 + + + + + CMSIS + 0 + 0 + 0 + 0 + + 4 + 29 + 1 + 0 + 0 + 0 + ../Src/system_stm32f1xx.c + system_stm32f1xx.c + 0 + 0 + + + + + ::CMSIS + 0 + 0 + 0 + 1 + + +
diff --git a/MDK-ARM/mainboard-hack.uvprojx b/MDK-ARM/mainboard-hack.uvprojx new file mode 100644 index 0000000..fd662e8 --- /dev/null +++ b/MDK-ARM/mainboard-hack.uvprojx @@ -0,0 +1,4691 @@ + + + + 2.1 + +
### uVision Project, (C) Keil Software
+ + + + VARIANT_ADC + 0x4 + ARM-ADS + 5060422::V5.06 update 4 (build 422)::ARMCC + + + STM32F103RC + STMicroelectronics + Keil.STM32F1xx_DFP.2.3.0 + http://www.keil.com/pack/ + IRAM(0x20000000-0x2000BFFF) IROM(0x8000000-0x803FFFF) CLOCK(8000000) CPUTYPE("Cortex-M3") + + + + + + + + + + + + + + + $$Device:STM32F103RC$SVD\STM32F103xx.svd + 0 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + firmware + 1 + 0 + 1 + 1 + 1 + .\Listing\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 1 + 0 + $K\ARM\ARMCC\bin\fromelf.exe --bin --output=.\Objects\@L.bin !L + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 0 + + + SARMCM3.DLL + -REMAP + DCM.DLL + -pCM3 + SARMCM3.DLL + + TCM.DLL + -pCM3 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + 4096 + + 1 + BIN\UL2CM3.DLL + "" () + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M3" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 8 + 1 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 1 + 0x8000000 + 0x40000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x8000000 + 0x40000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 4 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 0 + + + USE_HAL_DRIVER,STM32F103xE,VARIANT_ADC + + ..\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc\Legacy;..\Drivers\CMSIS\Device\ST\STM32F1xx\Include;..\Drivers\CMSIS\Include + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + + 1 + 0 + 0 + 0 + 1 + 0 + 0x08000000 + 0x20000000 + + + + + + + + + + + + + Startup + + + startup_stm32f103xe.s + 2 + .\startup_stm32f103xe.s + + + + + Src + + + bldc.c + 1 + ..\Src\bldc.c + + + BLDC_controller.c + 1 + ..\Src\BLDC_controller.c + + + BLDC_controller_data.c + 1 + ..\Src\BLDC_controller_data.c + + + comms.c + 1 + ..\Src\comms.c + + + control.c + 1 + ..\Src\control.c + + + eeprom.c + 1 + ..\Src\eeprom.c + + + hd44780.c + 1 + ..\Src\hd44780.c + + + main.c + 1 + ..\Src\main.c + + + pcf8574.c + 1 + ..\Src\pcf8574.c + + + setup.c + 1 + ..\Src\setup.c + + + stm32f1xx_it.c + 1 + ..\Src\stm32f1xx_it.c + + + + + HAL_Driver + + + stm32f1xx_hal.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal.c + + + stm32f1xx_hal_adc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc.c + + + stm32f1xx_hal_adc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc_ex.c + + + stm32f1xx_hal_cortex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_cortex.c + + + stm32f1xx_hal_dma.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_dma.c + + + stm32f1xx_hal_flash.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash.c + + + stm32f1xx_hal_flash_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash_ex.c + + + stm32f1xx_hal_gpio.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio.c + + + stm32f1xx_hal_gpio_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio_ex.c + + + stm32f1xx_hal_i2c.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_i2c.c + + + stm32f1xx_hal_pwr.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_pwr.c + + + stm32f1xx_hal_rcc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc.c + + + stm32f1xx_hal_rcc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc_ex.c + + + stm32f1xx_hal_tim.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim.c + + + stm32f1xx_hal_tim_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim_ex.c + + + stm32f1xx_hal_uart.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_uart.c + + + + + CMSIS + + + system_stm32f1xx.c + 1 + ../Src/system_stm32f1xx.c + + + + + ::CMSIS + + + + + VARIANT_USART + 0x4 + ARM-ADS + 5060422::V5.06 update 4 (build 422)::ARMCC + + + STM32F103RC + STMicroelectronics + Keil.STM32F1xx_DFP.2.3.0 + http://www.keil.com/pack/ + IRAM(0x20000000-0x2000BFFF) IROM(0x8000000-0x803FFFF) CLOCK(8000000) CPUTYPE("Cortex-M3") + + + + + + + + + + + + + + + $$Device:STM32F103RC$SVD\STM32F103xx.svd + 0 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + firmware + 1 + 0 + 1 + 1 + 1 + .\Listing\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 1 + 0 + $K\ARM\ARMCC\bin\fromelf.exe --bin --output=.\Objects\@L.bin !L + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 0 + + + SARMCM3.DLL + -REMAP + DCM.DLL + -pCM3 + SARMCM3.DLL + + TCM.DLL + -pCM3 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + 4096 + + 1 + BIN\UL2CM3.DLL + "" () + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M3" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 8 + 1 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 1 + 0x8000000 + 0x40000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x8000000 + 0x40000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 4 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 0 + + + USE_HAL_DRIVER,STM32F103xE,VARIANT_USART + + ..\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc\Legacy;..\Drivers\CMSIS\Device\ST\STM32F1xx\Include;..\Drivers\CMSIS\Include + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + + 1 + 0 + 0 + 0 + 1 + 0 + 0x08000000 + 0x20000000 + + + + + + + + + + + + + Startup + + + startup_stm32f103xe.s + 2 + .\startup_stm32f103xe.s + + + + + Src + + + bldc.c + 1 + ..\Src\bldc.c + + + BLDC_controller.c + 1 + ..\Src\BLDC_controller.c + + + BLDC_controller_data.c + 1 + ..\Src\BLDC_controller_data.c + + + comms.c + 1 + ..\Src\comms.c + + + control.c + 1 + ..\Src\control.c + + + eeprom.c + 1 + ..\Src\eeprom.c + + + hd44780.c + 1 + ..\Src\hd44780.c + + + main.c + 1 + ..\Src\main.c + + + pcf8574.c + 1 + ..\Src\pcf8574.c + + + setup.c + 1 + ..\Src\setup.c + + + stm32f1xx_it.c + 1 + ..\Src\stm32f1xx_it.c + + + + + HAL_Driver + + + stm32f1xx_hal.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal.c + + + stm32f1xx_hal_adc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc.c + + + stm32f1xx_hal_adc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc_ex.c + + + stm32f1xx_hal_cortex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_cortex.c + + + stm32f1xx_hal_dma.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_dma.c + + + stm32f1xx_hal_flash.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash.c + + + stm32f1xx_hal_flash_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash_ex.c + + + stm32f1xx_hal_gpio.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio.c + + + stm32f1xx_hal_gpio_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio_ex.c + + + stm32f1xx_hal_i2c.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_i2c.c + + + stm32f1xx_hal_pwr.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_pwr.c + + + stm32f1xx_hal_rcc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc.c + + + stm32f1xx_hal_rcc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc_ex.c + + + stm32f1xx_hal_tim.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim.c + + + stm32f1xx_hal_tim_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim_ex.c + + + stm32f1xx_hal_uart.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_uart.c + + + + + CMSIS + + + system_stm32f1xx.c + 1 + ../Src/system_stm32f1xx.c + + + + + ::CMSIS + + + 0 + 0 + 0 + 0 + 0 + 1 + 2 + 2 + 2 + 2 + 11 + + + 1 + + + + 2 + 0 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 0 + 2 + 2 + 2 + 2 + 0 + 0 + 2 + 2 + 2 + 2 + 2 + + + + + + + + + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + + + + + + + + + + + + + + VARIANT_NUNCHUK + 0x4 + ARM-ADS + 5060422::V5.06 update 4 (build 422)::ARMCC + + + STM32F103RC + STMicroelectronics + Keil.STM32F1xx_DFP.2.3.0 + http://www.keil.com/pack/ + IRAM(0x20000000-0x2000BFFF) IROM(0x8000000-0x803FFFF) CLOCK(8000000) CPUTYPE("Cortex-M3") + + + + + + + + + + + + + + + $$Device:STM32F103RC$SVD\STM32F103xx.svd + 0 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + firmware + 1 + 0 + 1 + 1 + 1 + .\Listing\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 1 + 0 + $K\ARM\ARMCC\bin\fromelf.exe --bin --output=.\Objects\@L.bin !L + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 0 + + + SARMCM3.DLL + -REMAP + DCM.DLL + -pCM3 + SARMCM3.DLL + + TCM.DLL + -pCM3 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + 4096 + + 1 + BIN\UL2CM3.DLL + "" () + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M3" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 8 + 1 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 1 + 0x8000000 + 0x40000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x8000000 + 0x40000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 4 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 0 + + + USE_HAL_DRIVER,STM32F103xE,VARIANT_NUNCHUK + + ..\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc\Legacy;..\Drivers\CMSIS\Device\ST\STM32F1xx\Include;..\Drivers\CMSIS\Include + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + + 1 + 0 + 0 + 0 + 1 + 0 + 0x08000000 + 0x20000000 + + + + + + + + + + + + + Startup + + + startup_stm32f103xe.s + 2 + .\startup_stm32f103xe.s + + + + + Src + + + bldc.c + 1 + ..\Src\bldc.c + + + BLDC_controller.c + 1 + ..\Src\BLDC_controller.c + + + BLDC_controller_data.c + 1 + ..\Src\BLDC_controller_data.c + + + comms.c + 1 + ..\Src\comms.c + + + control.c + 1 + ..\Src\control.c + + + eeprom.c + 1 + ..\Src\eeprom.c + + + hd44780.c + 1 + ..\Src\hd44780.c + + + main.c + 1 + ..\Src\main.c + + + pcf8574.c + 1 + ..\Src\pcf8574.c + + + setup.c + 1 + ..\Src\setup.c + + + stm32f1xx_it.c + 1 + ..\Src\stm32f1xx_it.c + + + + + HAL_Driver + + + stm32f1xx_hal.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal.c + + + stm32f1xx_hal_adc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc.c + + + stm32f1xx_hal_adc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc_ex.c + + + stm32f1xx_hal_cortex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_cortex.c + + + stm32f1xx_hal_dma.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_dma.c + + + stm32f1xx_hal_flash.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash.c + + + stm32f1xx_hal_flash_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash_ex.c + + + stm32f1xx_hal_gpio.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio.c + + + stm32f1xx_hal_gpio_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio_ex.c + + + stm32f1xx_hal_i2c.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_i2c.c + + + stm32f1xx_hal_pwr.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_pwr.c + + + stm32f1xx_hal_rcc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc.c + + + stm32f1xx_hal_rcc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc_ex.c + + + stm32f1xx_hal_tim.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim.c + + + stm32f1xx_hal_tim_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim_ex.c + + + stm32f1xx_hal_uart.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_uart.c + + + + + CMSIS + + + system_stm32f1xx.c + 1 + ../Src/system_stm32f1xx.c + + + + + ::CMSIS + + + 0 + 0 + 0 + 0 + 0 + 1 + 2 + 2 + 2 + 2 + 11 + + + 1 + + + + 2 + 0 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 0 + 2 + 2 + 2 + 2 + 0 + 0 + 2 + 2 + 2 + 2 + 2 + + + + + + + + + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + + + + + + + + + + + + + + VARIANT_PPM + 0x4 + ARM-ADS + 5060422::V5.06 update 4 (build 422)::ARMCC + + + STM32F103RC + STMicroelectronics + Keil.STM32F1xx_DFP.2.3.0 + http://www.keil.com/pack/ + IRAM(0x20000000-0x2000BFFF) IROM(0x8000000-0x803FFFF) CLOCK(8000000) CPUTYPE("Cortex-M3") + + + + + + + + + + + + + + + $$Device:STM32F103RC$SVD\STM32F103xx.svd + 0 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + firmware + 1 + 0 + 1 + 1 + 1 + .\Listing\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 1 + 0 + $K\ARM\ARMCC\bin\fromelf.exe --bin --output=.\Objects\@L.bin !L + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 0 + + + SARMCM3.DLL + -REMAP + DCM.DLL + -pCM3 + SARMCM3.DLL + + TCM.DLL + -pCM3 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + 4096 + + 1 + BIN\UL2CM3.DLL + "" () + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M3" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 8 + 1 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 1 + 0x8000000 + 0x40000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x8000000 + 0x40000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 4 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 0 + + + USE_HAL_DRIVER,STM32F103xE,VARIANT_PPM + + ..\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc\Legacy;..\Drivers\CMSIS\Device\ST\STM32F1xx\Include;..\Drivers\CMSIS\Include + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + + 1 + 0 + 0 + 0 + 1 + 0 + 0x08000000 + 0x20000000 + + + + + + + + + + + + + Startup + + + startup_stm32f103xe.s + 2 + .\startup_stm32f103xe.s + + + + + Src + + + bldc.c + 1 + ..\Src\bldc.c + + + BLDC_controller.c + 1 + ..\Src\BLDC_controller.c + + + BLDC_controller_data.c + 1 + ..\Src\BLDC_controller_data.c + + + comms.c + 1 + ..\Src\comms.c + + + control.c + 1 + ..\Src\control.c + + + eeprom.c + 1 + ..\Src\eeprom.c + + + hd44780.c + 1 + ..\Src\hd44780.c + + + main.c + 1 + ..\Src\main.c + + + pcf8574.c + 1 + ..\Src\pcf8574.c + + + setup.c + 1 + ..\Src\setup.c + + + stm32f1xx_it.c + 1 + ..\Src\stm32f1xx_it.c + + + + + HAL_Driver + + + stm32f1xx_hal.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal.c + + + stm32f1xx_hal_adc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc.c + + + stm32f1xx_hal_adc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc_ex.c + + + stm32f1xx_hal_cortex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_cortex.c + + + stm32f1xx_hal_dma.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_dma.c + + + stm32f1xx_hal_flash.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash.c + + + stm32f1xx_hal_flash_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash_ex.c + + + stm32f1xx_hal_gpio.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio.c + + + stm32f1xx_hal_gpio_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio_ex.c + + + stm32f1xx_hal_i2c.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_i2c.c + + + stm32f1xx_hal_pwr.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_pwr.c + + + stm32f1xx_hal_rcc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc.c + + + stm32f1xx_hal_rcc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc_ex.c + + + stm32f1xx_hal_tim.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim.c + + + stm32f1xx_hal_tim_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim_ex.c + + + stm32f1xx_hal_uart.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_uart.c + + + + + CMSIS + + + system_stm32f1xx.c + 1 + ../Src/system_stm32f1xx.c + + + + + ::CMSIS + + + 0 + 0 + 0 + 0 + 0 + 1 + 2 + 2 + 2 + 2 + 11 + + + 1 + + + + 2 + 0 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 0 + 2 + 2 + 2 + 2 + 0 + 0 + 2 + 2 + 2 + 2 + 2 + + + + + + + + + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + + + + + + + + + + + + + + VARIANT_IBUS + 0x4 + ARM-ADS + 5060422::V5.06 update 4 (build 422)::ARMCC + + + STM32F103RC + STMicroelectronics + Keil.STM32F1xx_DFP.2.3.0 + http://www.keil.com/pack/ + IRAM(0x20000000-0x2000BFFF) IROM(0x8000000-0x803FFFF) CLOCK(8000000) CPUTYPE("Cortex-M3") + + + + + + + + + + + + + + + $$Device:STM32F103RC$SVD\STM32F103xx.svd + 0 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + firmware + 1 + 0 + 1 + 1 + 1 + .\Listing\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 1 + 0 + $K\ARM\ARMCC\bin\fromelf.exe --bin --output=.\Objects\@L.bin !L + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 0 + + + SARMCM3.DLL + -REMAP + DCM.DLL + -pCM3 + SARMCM3.DLL + + TCM.DLL + -pCM3 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + 4096 + + 1 + BIN\UL2CM3.DLL + "" () + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M3" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 8 + 1 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 1 + 0x8000000 + 0x40000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x8000000 + 0x40000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 4 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 0 + + + USE_HAL_DRIVER,STM32F103xE,VARIANT_IBUS + + ..\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc\Legacy;..\Drivers\CMSIS\Device\ST\STM32F1xx\Include;..\Drivers\CMSIS\Include + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + + 1 + 0 + 0 + 0 + 1 + 0 + 0x08000000 + 0x20000000 + + + + + + + + + + + + + Startup + + + startup_stm32f103xe.s + 2 + .\startup_stm32f103xe.s + + + + + Src + + + bldc.c + 1 + ..\Src\bldc.c + + + BLDC_controller.c + 1 + ..\Src\BLDC_controller.c + + + BLDC_controller_data.c + 1 + ..\Src\BLDC_controller_data.c + + + comms.c + 1 + ..\Src\comms.c + + + control.c + 1 + ..\Src\control.c + + + eeprom.c + 1 + ..\Src\eeprom.c + + + hd44780.c + 1 + ..\Src\hd44780.c + + + main.c + 1 + ..\Src\main.c + + + pcf8574.c + 1 + ..\Src\pcf8574.c + + + setup.c + 1 + ..\Src\setup.c + + + stm32f1xx_it.c + 1 + ..\Src\stm32f1xx_it.c + + + + + HAL_Driver + + + stm32f1xx_hal.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal.c + + + stm32f1xx_hal_adc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc.c + + + stm32f1xx_hal_adc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc_ex.c + + + stm32f1xx_hal_cortex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_cortex.c + + + stm32f1xx_hal_dma.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_dma.c + + + stm32f1xx_hal_flash.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash.c + + + stm32f1xx_hal_flash_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash_ex.c + + + stm32f1xx_hal_gpio.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio.c + + + stm32f1xx_hal_gpio_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio_ex.c + + + stm32f1xx_hal_i2c.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_i2c.c + + + stm32f1xx_hal_pwr.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_pwr.c + + + stm32f1xx_hal_rcc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc.c + + + stm32f1xx_hal_rcc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc_ex.c + + + stm32f1xx_hal_tim.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim.c + + + stm32f1xx_hal_tim_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim_ex.c + + + stm32f1xx_hal_uart.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_uart.c + + + + + CMSIS + + + system_stm32f1xx.c + 1 + ../Src/system_stm32f1xx.c + + + + + ::CMSIS + + + 0 + 0 + 0 + 0 + 0 + 1 + 2 + 2 + 2 + 2 + 11 + + + 1 + + + + 2 + 0 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 0 + 2 + 2 + 2 + 2 + 0 + 0 + 2 + 2 + 2 + 2 + 2 + + + + + + + + + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + + + + + + + + + + + + + + VARIANT_HOVERCAR + 0x4 + ARM-ADS + 5060422::V5.06 update 4 (build 422)::ARMCC + + + STM32F103RC + STMicroelectronics + Keil.STM32F1xx_DFP.2.3.0 + http://www.keil.com/pack/ + IRAM(0x20000000-0x2000BFFF) IROM(0x8000000-0x803FFFF) CLOCK(8000000) CPUTYPE("Cortex-M3") + + + + + + + + + + + + + + + $$Device:STM32F103RC$SVD\STM32F103xx.svd + 0 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + firmware + 1 + 0 + 1 + 1 + 1 + .\Listing\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 1 + 0 + $K\ARM\ARMCC\bin\fromelf.exe --bin --output=.\Objects\@L.bin !L + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 0 + + + SARMCM3.DLL + -REMAP + DCM.DLL + -pCM3 + SARMCM3.DLL + + TCM.DLL + -pCM3 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + 4096 + + 1 + BIN\UL2CM3.DLL + "" () + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M3" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 8 + 1 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 1 + 0x8000000 + 0x40000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x8000000 + 0x40000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 4 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 0 + + + USE_HAL_DRIVER,STM32F103xE,VARIANT_HOVERCAR + + ..\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc\Legacy;..\Drivers\CMSIS\Device\ST\STM32F1xx\Include;..\Drivers\CMSIS\Include + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + + 1 + 0 + 0 + 0 + 1 + 0 + 0x08000000 + 0x20000000 + + + + + + + + + + + + + Startup + + + startup_stm32f103xe.s + 2 + .\startup_stm32f103xe.s + + + + + Src + + + bldc.c + 1 + ..\Src\bldc.c + + + BLDC_controller.c + 1 + ..\Src\BLDC_controller.c + + + BLDC_controller_data.c + 1 + ..\Src\BLDC_controller_data.c + + + comms.c + 1 + ..\Src\comms.c + + + control.c + 1 + ..\Src\control.c + + + eeprom.c + 1 + ..\Src\eeprom.c + + + hd44780.c + 1 + ..\Src\hd44780.c + + + main.c + 1 + ..\Src\main.c + + + pcf8574.c + 1 + ..\Src\pcf8574.c + + + setup.c + 1 + ..\Src\setup.c + + + stm32f1xx_it.c + 1 + ..\Src\stm32f1xx_it.c + + + + + HAL_Driver + + + stm32f1xx_hal.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal.c + + + stm32f1xx_hal_adc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc.c + + + stm32f1xx_hal_adc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc_ex.c + + + stm32f1xx_hal_cortex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_cortex.c + + + stm32f1xx_hal_dma.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_dma.c + + + stm32f1xx_hal_flash.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash.c + + + stm32f1xx_hal_flash_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash_ex.c + + + stm32f1xx_hal_gpio.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio.c + + + stm32f1xx_hal_gpio_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio_ex.c + + + stm32f1xx_hal_i2c.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_i2c.c + + + stm32f1xx_hal_pwr.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_pwr.c + + + stm32f1xx_hal_rcc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc.c + + + stm32f1xx_hal_rcc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc_ex.c + + + stm32f1xx_hal_tim.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim.c + + + stm32f1xx_hal_tim_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim_ex.c + + + stm32f1xx_hal_uart.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_uart.c + + + + + CMSIS + + + system_stm32f1xx.c + 1 + ../Src/system_stm32f1xx.c + + + + + ::CMSIS + + + 0 + 0 + 0 + 0 + 0 + 1 + 2 + 2 + 2 + 2 + 11 + + + 1 + + + + 2 + 0 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 0 + 2 + 2 + 2 + 2 + 0 + 0 + 2 + 2 + 2 + 2 + 2 + + + + + + + + + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + 2 + + + + + + + + + + + + + + VARIANT_HOVERBOARD + 0x4 + ARM-ADS + 5060422::V5.06 update 4 (build 422)::ARMCC + + + STM32F103RC + STMicroelectronics + Keil.STM32F1xx_DFP.2.3.0 + http://www.keil.com/pack/ + IRAM(0x20000000-0x2000BFFF) IROM(0x8000000-0x803FFFF) CLOCK(8000000) CPUTYPE("Cortex-M3") + + + + + + + + + + + + + + + $$Device:STM32F103RC$SVD\STM32F103xx.svd + 0 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + firmware + 1 + 0 + 1 + 1 + 1 + .\Listing\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 1 + 0 + $K\ARM\ARMCC\bin\fromelf.exe --bin --output=.\Objects\@L.bin !L + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 0 + + + SARMCM3.DLL + -REMAP + DCM.DLL + -pCM3 + SARMCM3.DLL + + TCM.DLL + -pCM3 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + 4096 + + 1 + BIN\UL2CM3.DLL + "" () + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M3" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 8 + 1 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 1 + 0x8000000 + 0x40000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x8000000 + 0x40000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 4 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 0 + + + USE_HAL_DRIVER,STM32F103xE,VARIANT_HOVERBOARD + + ..\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc\Legacy;..\Drivers\CMSIS\Device\ST\STM32F1xx\Include;..\Drivers\CMSIS\Include + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + + 1 + 0 + 0 + 0 + 1 + 0 + 0x08000000 + 0x20000000 + + + + + + + + + + + + + Startup + + + startup_stm32f103xe.s + 2 + .\startup_stm32f103xe.s + + + + + Src + + + bldc.c + 1 + ..\Src\bldc.c + + + BLDC_controller.c + 1 + ..\Src\BLDC_controller.c + + + BLDC_controller_data.c + 1 + ..\Src\BLDC_controller_data.c + + + comms.c + 1 + ..\Src\comms.c + + + control.c + 1 + ..\Src\control.c + + + eeprom.c + 1 + ..\Src\eeprom.c + + + hd44780.c + 1 + ..\Src\hd44780.c + + + main.c + 1 + ..\Src\main.c + + + pcf8574.c + 1 + ..\Src\pcf8574.c + + + setup.c + 1 + ..\Src\setup.c + + + stm32f1xx_it.c + 1 + ..\Src\stm32f1xx_it.c + + + + + HAL_Driver + + + stm32f1xx_hal.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal.c + + + stm32f1xx_hal_adc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc.c + + + stm32f1xx_hal_adc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc_ex.c + + + stm32f1xx_hal_cortex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_cortex.c + + + stm32f1xx_hal_dma.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_dma.c + + + stm32f1xx_hal_flash.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash.c + + + stm32f1xx_hal_flash_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash_ex.c + + + stm32f1xx_hal_gpio.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio.c + + + stm32f1xx_hal_gpio_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio_ex.c + + + stm32f1xx_hal_i2c.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_i2c.c + + + stm32f1xx_hal_pwr.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_pwr.c + + + stm32f1xx_hal_rcc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc.c + + + stm32f1xx_hal_rcc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc_ex.c + + + stm32f1xx_hal_tim.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim.c + + + stm32f1xx_hal_tim_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim_ex.c + + + stm32f1xx_hal_uart.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_uart.c + + + + + CMSIS + + + system_stm32f1xx.c + 1 + ../Src/system_stm32f1xx.c + + + + + ::CMSIS + + + + + VARIANT_TRANSPOTTER + 0x4 + ARM-ADS + 5060422::V5.06 update 4 (build 422)::ARMCC + + + STM32F103RC + STMicroelectronics + Keil.STM32F1xx_DFP.2.3.0 + http://www.keil.com/pack/ + IRAM(0x20000000-0x2000BFFF) IROM(0x8000000-0x803FFFF) CLOCK(8000000) CPUTYPE("Cortex-M3") + + + + + + + + + + + + + + + $$Device:STM32F103RC$SVD\STM32F103xx.svd + 0 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + firmware + 1 + 0 + 1 + 1 + 1 + .\Listing\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 1 + 0 + $K\ARM\ARMCC\bin\fromelf.exe --bin --output=.\Objects\@L.bin !L + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 0 + + + SARMCM3.DLL + -REMAP + DCM.DLL + -pCM3 + SARMCM3.DLL + + TCM.DLL + -pCM3 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + 4096 + + 1 + BIN\UL2CM3.DLL + "" () + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M3" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 8 + 1 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 1 + 0x8000000 + 0x40000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x8000000 + 0x40000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0xc000 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 4 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 2 + 0 + 0 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 0 + + + USE_HAL_DRIVER,STM32F103xE,VARIANT_TRANSPOTTER + + ..\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc;..\Drivers\STM32F1xx_HAL_Driver\Inc\Legacy;..\Drivers\CMSIS\Device\ST\STM32F1xx\Include;..\Drivers\CMSIS\Include + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + + 1 + 0 + 0 + 0 + 1 + 0 + 0x08000000 + 0x20000000 + + + + + + + + + + + + + Startup + + + startup_stm32f103xe.s + 2 + .\startup_stm32f103xe.s + + + + + Src + + + bldc.c + 1 + ..\Src\bldc.c + + + BLDC_controller.c + 1 + ..\Src\BLDC_controller.c + + + BLDC_controller_data.c + 1 + ..\Src\BLDC_controller_data.c + + + comms.c + 1 + ..\Src\comms.c + + + control.c + 1 + ..\Src\control.c + + + eeprom.c + 1 + ..\Src\eeprom.c + + + hd44780.c + 1 + ..\Src\hd44780.c + + + main.c + 1 + ..\Src\main.c + + + pcf8574.c + 1 + ..\Src\pcf8574.c + + + setup.c + 1 + ..\Src\setup.c + + + stm32f1xx_it.c + 1 + ..\Src\stm32f1xx_it.c + + + + + HAL_Driver + + + stm32f1xx_hal.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal.c + + + stm32f1xx_hal_adc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc.c + + + stm32f1xx_hal_adc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_adc_ex.c + + + stm32f1xx_hal_cortex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_cortex.c + + + stm32f1xx_hal_dma.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_dma.c + + + stm32f1xx_hal_flash.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash.c + + + stm32f1xx_hal_flash_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_flash_ex.c + + + stm32f1xx_hal_gpio.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio.c + + + stm32f1xx_hal_gpio_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_gpio_ex.c + + + stm32f1xx_hal_i2c.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_i2c.c + + + stm32f1xx_hal_pwr.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_pwr.c + + + stm32f1xx_hal_rcc.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc.c + + + stm32f1xx_hal_rcc_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_rcc_ex.c + + + stm32f1xx_hal_tim.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim.c + + + stm32f1xx_hal_tim_ex.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_tim_ex.c + + + stm32f1xx_hal_uart.c + 1 + ..\Drivers\STM32F1xx_HAL_Driver\Src\stm32f1xx_hal_uart.c + + + + + CMSIS + + + system_stm32f1xx.c + 1 + ../Src/system_stm32f1xx.c + + + + + ::CMSIS + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/MDK-ARM/startup_stm32f103xe.s b/MDK-ARM/startup_stm32f103xe.s new file mode 100644 index 0000000..617c751 --- /dev/null +++ b/MDK-ARM/startup_stm32f103xe.s @@ -0,0 +1,373 @@ +;******************** (C) COPYRIGHT 2017 STMicroelectronics ******************** +;* File Name : startup_stm32f103xe.s +;* Author : MCD Application Team +;* Version : V4.2.0 +;* Date : 31-March-2017 +;* Description : STM32F103xE Devices vector table for MDK-ARM toolchain. +;* This module performs: +;* - Set the initial SP +;* - Set the initial PC == Reset_Handler +;* - Set the vector table entries with the exceptions ISR address +;* - Configure the clock system +;* - Branches to __main in the C library (which eventually +;* calls main()). +;* After Reset the Cortex-M3 processor is in Thread mode, +;* priority is Privileged, and the Stack is set to Main. +;******************************************************************************** +;* +;*

© COPYRIGHT(c) 2017 STMicroelectronics

+;* +;* Redistribution and use in source and binary forms, with or without modification, +;* are permitted provided that the following conditions are met: +;* 1. Redistributions of source code must retain the above copyright notice, +;* this list of conditions and the following disclaimer. +;* 2. Redistributions in binary form must reproduce the above copyright notice, +;* this list of conditions and the following disclaimer in the documentation +;* and/or other materials provided with the distribution. +;* 3. Neither the name of STMicroelectronics nor the names of its contributors +;* may be used to endorse or promote products derived from this software +;* without specific prior written permission. +;* +;* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +;* AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +;* IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE +;* DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE +;* FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL +;* DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR +;* SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER +;* CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, +;* OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE +;* OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +; +;******************************************************************************* + +; Amount of memory (in bytes) allocated for Stack +; Tailor this value to your application needs +; Stack Configuration +; Stack Size (in Bytes) <0x0-0xFFFFFFFF:8> +; + +Stack_Size EQU 0x400 + + AREA STACK, NOINIT, READWRITE, ALIGN=3 +Stack_Mem SPACE Stack_Size +__initial_sp + +; Heap Configuration +; Heap Size (in Bytes) <0x0-0xFFFFFFFF:8> +; + +Heap_Size EQU 0x200 + + AREA HEAP, NOINIT, READWRITE, ALIGN=3 +__heap_base +Heap_Mem SPACE Heap_Size +__heap_limit + + PRESERVE8 + THUMB + + +; Vector Table Mapped to Address 0 at Reset + AREA RESET, DATA, READONLY + EXPORT __Vectors + EXPORT __Vectors_End + EXPORT __Vectors_Size + +__Vectors DCD __initial_sp ; Top of Stack + DCD Reset_Handler ; Reset Handler + DCD NMI_Handler ; NMI Handler + DCD HardFault_Handler ; Hard Fault Handler + DCD MemManage_Handler ; MPU Fault Handler + DCD BusFault_Handler ; Bus Fault Handler + DCD UsageFault_Handler ; Usage Fault Handler + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD SVC_Handler ; SVCall Handler + DCD DebugMon_Handler ; Debug Monitor Handler + DCD 0 ; Reserved + DCD PendSV_Handler ; PendSV Handler + DCD SysTick_Handler ; SysTick Handler + + ; External Interrupts + DCD WWDG_IRQHandler ; Window Watchdog + DCD PVD_IRQHandler ; PVD through EXTI Line detect + DCD TAMPER_IRQHandler ; Tamper + DCD RTC_IRQHandler ; RTC + DCD FLASH_IRQHandler ; Flash + DCD RCC_IRQHandler ; RCC + DCD EXTI0_IRQHandler ; EXTI Line 0 + DCD EXTI1_IRQHandler ; EXTI Line 1 + DCD EXTI2_IRQHandler ; EXTI Line 2 + DCD EXTI3_IRQHandler ; EXTI Line 3 + DCD EXTI4_IRQHandler ; EXTI Line 4 + DCD DMA1_Channel1_IRQHandler ; DMA1 Channel 1 + DCD DMA1_Channel2_IRQHandler ; DMA1 Channel 2 + DCD DMA1_Channel3_IRQHandler ; DMA1 Channel 3 + DCD DMA1_Channel4_IRQHandler ; DMA1 Channel 4 + DCD DMA1_Channel5_IRQHandler ; DMA1 Channel 5 + DCD DMA1_Channel6_IRQHandler ; DMA1 Channel 6 + DCD DMA1_Channel7_IRQHandler ; DMA1 Channel 7 + DCD ADC1_2_IRQHandler ; ADC1 & ADC2 + DCD USB_HP_CAN1_TX_IRQHandler ; USB High Priority or CAN1 TX + DCD USB_LP_CAN1_RX0_IRQHandler ; USB Low Priority or CAN1 RX0 + DCD CAN1_RX1_IRQHandler ; CAN1 RX1 + DCD CAN1_SCE_IRQHandler ; CAN1 SCE + DCD EXTI9_5_IRQHandler ; EXTI Line 9..5 + DCD TIM1_BRK_IRQHandler ; TIM1 Break + DCD TIM1_UP_IRQHandler ; TIM1 Update + DCD TIM1_TRG_COM_IRQHandler ; TIM1 Trigger and Commutation + DCD TIM1_CC_IRQHandler ; TIM1 Capture Compare + DCD TIM2_IRQHandler ; TIM2 + DCD TIM3_IRQHandler ; TIM3 + DCD TIM4_IRQHandler ; TIM4 + DCD I2C1_EV_IRQHandler ; I2C1 Event + DCD I2C1_ER_IRQHandler ; I2C1 Error + DCD I2C2_EV_IRQHandler ; I2C2 Event + DCD I2C2_ER_IRQHandler ; I2C2 Error + DCD SPI1_IRQHandler ; SPI1 + DCD SPI2_IRQHandler ; SPI2 + DCD USART1_IRQHandler ; USART1 + DCD USART2_IRQHandler ; USART2 + DCD USART3_IRQHandler ; USART3 + DCD EXTI15_10_IRQHandler ; EXTI Line 15..10 + DCD RTC_Alarm_IRQHandler ; RTC Alarm through EXTI Line + DCD USBWakeUp_IRQHandler ; USB Wakeup from suspend + DCD TIM8_BRK_IRQHandler ; TIM8 Break + DCD TIM8_UP_IRQHandler ; TIM8 Update + DCD TIM8_TRG_COM_IRQHandler ; TIM8 Trigger and Commutation + DCD TIM8_CC_IRQHandler ; TIM8 Capture Compare + DCD ADC3_IRQHandler ; ADC3 + DCD FSMC_IRQHandler ; FSMC + DCD SDIO_IRQHandler ; SDIO + DCD TIM5_IRQHandler ; TIM5 + DCD SPI3_IRQHandler ; SPI3 + DCD UART4_IRQHandler ; UART4 + DCD UART5_IRQHandler ; UART5 + DCD TIM6_IRQHandler ; TIM6 + DCD TIM7_IRQHandler ; TIM7 + DCD DMA2_Channel1_IRQHandler ; DMA2 Channel1 + DCD DMA2_Channel2_IRQHandler ; DMA2 Channel2 + DCD DMA2_Channel3_IRQHandler ; DMA2 Channel3 + DCD DMA2_Channel4_5_IRQHandler ; DMA2 Channel4 & Channel5 +__Vectors_End + +__Vectors_Size EQU __Vectors_End - __Vectors + + AREA |.text|, CODE, READONLY + +; Reset handler +Reset_Handler PROC + EXPORT Reset_Handler [WEAK] + IMPORT __main + IMPORT SystemInit + LDR R0, =SystemInit + BLX R0 + LDR R0, =__main + BX R0 + ENDP + +; Dummy Exception Handlers (infinite loops which can be modified) + +NMI_Handler PROC + EXPORT NMI_Handler [WEAK] + B . + ENDP +HardFault_Handler\ + PROC + EXPORT HardFault_Handler [WEAK] + B . + ENDP +MemManage_Handler\ + PROC + EXPORT MemManage_Handler [WEAK] + B . + ENDP +BusFault_Handler\ + PROC + EXPORT BusFault_Handler [WEAK] + B . + ENDP +UsageFault_Handler\ + PROC + EXPORT UsageFault_Handler [WEAK] + B . + ENDP +SVC_Handler PROC + EXPORT SVC_Handler [WEAK] + B . + ENDP +DebugMon_Handler\ + PROC + EXPORT DebugMon_Handler [WEAK] + B . + ENDP +PendSV_Handler PROC + EXPORT PendSV_Handler [WEAK] + B . + ENDP +SysTick_Handler PROC + EXPORT SysTick_Handler [WEAK] + B . + ENDP + +Default_Handler PROC + + EXPORT WWDG_IRQHandler [WEAK] + EXPORT PVD_IRQHandler [WEAK] + EXPORT TAMPER_IRQHandler [WEAK] + EXPORT RTC_IRQHandler [WEAK] + EXPORT FLASH_IRQHandler [WEAK] + EXPORT RCC_IRQHandler [WEAK] + EXPORT EXTI0_IRQHandler [WEAK] + EXPORT EXTI1_IRQHandler [WEAK] + EXPORT EXTI2_IRQHandler [WEAK] + EXPORT EXTI3_IRQHandler [WEAK] + EXPORT EXTI4_IRQHandler [WEAK] + EXPORT DMA1_Channel1_IRQHandler [WEAK] + EXPORT DMA1_Channel2_IRQHandler [WEAK] + EXPORT DMA1_Channel3_IRQHandler [WEAK] + EXPORT DMA1_Channel4_IRQHandler [WEAK] + EXPORT DMA1_Channel5_IRQHandler [WEAK] + EXPORT DMA1_Channel6_IRQHandler [WEAK] + EXPORT DMA1_Channel7_IRQHandler [WEAK] + EXPORT ADC1_2_IRQHandler [WEAK] + EXPORT USB_HP_CAN1_TX_IRQHandler [WEAK] + EXPORT USB_LP_CAN1_RX0_IRQHandler [WEAK] + EXPORT CAN1_RX1_IRQHandler [WEAK] + EXPORT CAN1_SCE_IRQHandler [WEAK] + EXPORT EXTI9_5_IRQHandler [WEAK] + EXPORT TIM1_BRK_IRQHandler [WEAK] + EXPORT TIM1_UP_IRQHandler [WEAK] + EXPORT TIM1_TRG_COM_IRQHandler [WEAK] + EXPORT TIM1_CC_IRQHandler [WEAK] + EXPORT TIM2_IRQHandler [WEAK] + EXPORT TIM3_IRQHandler [WEAK] + EXPORT TIM4_IRQHandler [WEAK] + EXPORT I2C1_EV_IRQHandler [WEAK] + EXPORT I2C1_ER_IRQHandler [WEAK] + EXPORT I2C2_EV_IRQHandler [WEAK] + EXPORT I2C2_ER_IRQHandler [WEAK] + EXPORT SPI1_IRQHandler [WEAK] + EXPORT SPI2_IRQHandler [WEAK] + EXPORT USART1_IRQHandler [WEAK] + EXPORT USART2_IRQHandler [WEAK] + EXPORT USART3_IRQHandler [WEAK] + EXPORT EXTI15_10_IRQHandler [WEAK] + EXPORT RTC_Alarm_IRQHandler [WEAK] + EXPORT USBWakeUp_IRQHandler [WEAK] + EXPORT TIM8_BRK_IRQHandler [WEAK] + EXPORT TIM8_UP_IRQHandler [WEAK] + EXPORT TIM8_TRG_COM_IRQHandler [WEAK] + EXPORT TIM8_CC_IRQHandler [WEAK] + EXPORT ADC3_IRQHandler [WEAK] + EXPORT FSMC_IRQHandler [WEAK] + EXPORT SDIO_IRQHandler [WEAK] + EXPORT TIM5_IRQHandler [WEAK] + EXPORT SPI3_IRQHandler [WEAK] + EXPORT UART4_IRQHandler [WEAK] + EXPORT UART5_IRQHandler [WEAK] + EXPORT TIM6_IRQHandler [WEAK] + EXPORT TIM7_IRQHandler [WEAK] + EXPORT DMA2_Channel1_IRQHandler [WEAK] + EXPORT DMA2_Channel2_IRQHandler [WEAK] + EXPORT DMA2_Channel3_IRQHandler [WEAK] + EXPORT DMA2_Channel4_5_IRQHandler [WEAK] + +WWDG_IRQHandler +PVD_IRQHandler +TAMPER_IRQHandler +RTC_IRQHandler +FLASH_IRQHandler +RCC_IRQHandler +EXTI0_IRQHandler +EXTI1_IRQHandler +EXTI2_IRQHandler +EXTI3_IRQHandler +EXTI4_IRQHandler +DMA1_Channel1_IRQHandler +DMA1_Channel2_IRQHandler +DMA1_Channel3_IRQHandler +DMA1_Channel4_IRQHandler +DMA1_Channel5_IRQHandler +DMA1_Channel6_IRQHandler +DMA1_Channel7_IRQHandler +ADC1_2_IRQHandler +USB_HP_CAN1_TX_IRQHandler +USB_LP_CAN1_RX0_IRQHandler +CAN1_RX1_IRQHandler +CAN1_SCE_IRQHandler +EXTI9_5_IRQHandler +TIM1_BRK_IRQHandler +TIM1_UP_IRQHandler +TIM1_TRG_COM_IRQHandler +TIM1_CC_IRQHandler +TIM2_IRQHandler +TIM3_IRQHandler +TIM4_IRQHandler +I2C1_EV_IRQHandler +I2C1_ER_IRQHandler +I2C2_EV_IRQHandler +I2C2_ER_IRQHandler +SPI1_IRQHandler +SPI2_IRQHandler +USART1_IRQHandler +USART2_IRQHandler +USART3_IRQHandler +EXTI15_10_IRQHandler +RTC_Alarm_IRQHandler +USBWakeUp_IRQHandler +TIM8_BRK_IRQHandler +TIM8_UP_IRQHandler +TIM8_TRG_COM_IRQHandler +TIM8_CC_IRQHandler +ADC3_IRQHandler +FSMC_IRQHandler +SDIO_IRQHandler +TIM5_IRQHandler +SPI3_IRQHandler +UART4_IRQHandler +UART5_IRQHandler +TIM6_IRQHandler +TIM7_IRQHandler +DMA2_Channel1_IRQHandler +DMA2_Channel2_IRQHandler +DMA2_Channel3_IRQHandler +DMA2_Channel4_5_IRQHandler + B . + + ENDP + + ALIGN + +;******************************************************************************* +; User Stack and Heap initialization +;******************************************************************************* + IF :DEF:__MICROLIB + + EXPORT __initial_sp + EXPORT __heap_base + EXPORT __heap_limit + + ELSE + + IMPORT __use_two_region_memory + EXPORT __user_initial_stackheap + +__user_initial_stackheap + + LDR R0, = Heap_Mem + LDR R1, =(Stack_Mem + Stack_Size) + LDR R2, = (Heap_Mem + Heap_Size) + LDR R3, = Stack_Mem + BX LR + + ALIGN + + ENDIF + + END + +;************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE***** diff --git a/README.md b/README.md index 67bf2ae..1849f47 100644 --- a/README.md +++ b/README.md @@ -112,7 +112,14 @@ To build and flash choose one of the following methods: - open the folder in the IDE of choice (vscode or Atom) - press the 'PlatformIO:Build' or the 'PlatformIO:Upload' button (bottom left in vscode). -### Method 2: Using Ubuntu +### Method 2: Using Keil uVision + +- in [Keil uVision](https://www.keil.com/download/product/), open the [mainboard-hack.uvproj](/MDK-ARM/) +- if you are asked to install missing packages, click Yes +- click Build Target (or press F7) to build the firmware +- click Load Code (or press F8) to flash the firmware. + +### Method 3: Using Ubuntu - prerequisites: install [ST-Flash utility](https://github.com/texane/stlink). - open a terminal in the repo check-out folder and type: diff --git a/Src/bldc.c b/Src/bldc.c index 29edeab..7e72a27 100644 --- a/Src/bldc.c +++ b/Src/bldc.c @@ -136,7 +136,7 @@ void DMA1_Channel1_IRQHandler(void) { HAL_GPIO_TogglePin(BUZZER_PORT, BUZZER_PIN); } } else { - HAL_GPIO_WritePin(BUZZER_PORT, BUZZER_PIN, 0); + HAL_GPIO_WritePin(BUZZER_PORT, BUZZER_PIN, GPIO_PIN_RESET); } // ############################### MOTOR CONTROL ############################### diff --git a/Src/main.c b/Src/main.c index 6f2b4ba..ecccba0 100644 --- a/Src/main.c +++ b/Src/main.c @@ -26,14 +26,12 @@ #include "setup.h" #include "config.h" #include "comms.h" +#include "eeprom.h" #if defined(DEBUG_I2C_LCD) || defined(SUPPORT_LCD) #include "hd44780.h" #endif -#ifdef VARIANT_TRANSPOTTER - #include "eeprom.h" -#endif // Matlab includes and defines - from auto-code generation // ############################################################################### @@ -45,7 +43,7 @@ RT_MODEL rtM_Right_; /* Real-time model */ RT_MODEL *const rtM_Left = &rtM_Left_; RT_MODEL *const rtM_Right = &rtM_Right_; -P rtP_Left; /* Block parameters (auto storage) */ +extern P rtP_Left; /* Block parameters (auto storage) */ DW rtDW_Left; /* Observable states */ ExtU rtU_Left; /* External inputs */ ExtY rtY_Left; /* External outputs */ @@ -98,6 +96,7 @@ extern I2C_HandleTypeDef hi2c2; uint16_t counter = 0; #else uint8_t nunchuk_connected = 1; + uint16_t VirtAddVarTab[NB_OF_VAR] = {0x1300}; // Dummy address to avoid warnings #endif #if defined(CONTROL_ADC) && defined(ADC_PROTECT_ENA) @@ -146,25 +145,24 @@ typedef struct{ static SerialFeedback Feedback; #endif -#if defined(CONTROL_NUNCHUK) || defined(SUPPORT_NUNCHUK) || defined(CONTROL_PPM) || defined(CONTROL_ADC) +#ifdef SUPPORT_BUTTONS static uint8_t button1, button2; #endif uint8_t ctrlModReqRaw = CTRL_MOD_REQ; uint8_t ctrlModReq = CTRL_MOD_REQ; // Final control mode request -static int cmd1; // normalized input value. -1000 to 1000 -static int cmd2; // normalized input value. -1000 to 1000 static int16_t speed; // local variable for speed. -1000 to 1000 #ifndef VARIANT_TRANSPOTTER + static int cmd1; // normalized input value. -1000 to 1000 + static int cmd2; // normalized input value. -1000 to 1000 static int16_t steer; // local variable for steering. -1000 to 1000 static int16_t steerRateFixdt; // local fixed-point variable for steering rate limiter static int16_t speedRateFixdt; // local fixed-point variable for speed rate limiter static int32_t steerFixdt; // local fixed-point variable for steering low-pass filter static int32_t speedFixdt; // local fixed-point variable for speed low-pass filter #endif -#ifdef VARIANT_HOVERCAR - static MultipleTap MultipleTapBreak; // define multiple tap functionality for the Break pedal -#endif +static MultipleTap MultipleTapBreak; // define multiple tap functionality for the Break pedal + static int16_t speedAvg; // average measured speed static int16_t speedAvgAbs; // average measured speed in absolute @@ -197,7 +195,7 @@ void poweroff(void) { buzzerFreq = (uint8_t)i; HAL_Delay(100); } - HAL_GPIO_WritePin(OFF_PORT, OFF_PIN, 0); + HAL_GPIO_WritePin(OFF_PORT, OFF_PIN, GPIO_PIN_RESET); while(1) {} // } } @@ -232,7 +230,7 @@ int main(void) { MX_ADC1_Init(); MX_ADC2_Init(); - HAL_GPIO_WritePin(OFF_PORT, OFF_PIN, 1); + HAL_GPIO_WritePin(OFF_PORT, OFF_PIN, GPIO_PIN_SET); HAL_ADC_Start(&hadc1); HAL_ADC_Start(&hadc2); @@ -279,7 +277,7 @@ int main(void) { } buzzerFreq = 0; - HAL_GPIO_WritePin(LED_PORT, LED_PIN, 1); + HAL_GPIO_WritePin(LED_PORT, LED_PIN, GPIO_PIN_SET); #ifdef VARIANT_TRANSPOTTER int lastDistance = 0; @@ -458,17 +456,21 @@ int main(void) { Nunchuk_Read(); cmd1 = CLAMP((nunchuk_data[0] - 127) * 8, INPUT_MIN, INPUT_MAX); // x - axis. Nunchuk joystick readings range 30 - 230 cmd2 = CLAMP((nunchuk_data[1] - 128) * 8, INPUT_MIN, INPUT_MAX); // y - axis - - button1 = (uint8_t)nunchuk_data[5] & 1; - button2 = (uint8_t)(nunchuk_data[5] >> 1) & 1; + + #ifdef SUPPORT_BUTTONS + button1 = (uint8_t)nunchuk_data[5] & 1; + button2 = (uint8_t)(nunchuk_data[5] >> 1) & 1; + #endif } #endif #ifdef CONTROL_PPM cmd1 = CLAMP((ppm_captured_value[0] - INPUT_MID) * 2, INPUT_MIN, INPUT_MAX); cmd2 = CLAMP((ppm_captured_value[1] - INPUT_MID) * 2, INPUT_MIN, INPUT_MAX); - button1 = ppm_captured_value[5] > INPUT_MID; - button2 = 0; + #ifdef SUPPORT_BUTTONS + button1 = ppm_captured_value[5] > INPUT_MID; + button2 = 0; + #endif // float scale = ppm_captured_value[2] / 1000.0f; // not used for now, uncomment if needed #endif @@ -514,8 +516,10 @@ int main(void) { #endif // use ADCs as button inputs: - button1 = (uint8_t)(adc_buffer.l_tx2 > 2000); // ADC1 - button2 = (uint8_t)(adc_buffer.l_rx2 > 2000); // ADC2 + #ifdef SUPPORT_BUTTONS + button1 = (uint8_t)(adc_buffer.l_tx2 > 2000); // ADC1 + button2 = (uint8_t)(adc_buffer.l_rx2 > 2000); // ADC2 + #endif timeout = 0; #endif @@ -833,7 +837,7 @@ int main(void) { } else if (timeoutFlagADC || timeoutFlagSerial) { // beep in case of ADC or Serial timeout - fast beep buzzerFreq = 24; buzzerPattern = 1; - } else if (BEEPS_BACKWARD && speed < -50 && speedAvg < 0) { // backward beep + } else if (BEEPS_BACKWARD && ((speed < -50 && speedAvg < 0) || MultipleTapBreak.b_multipleTap)) { // backward beep buzzerFreq = 5; buzzerPattern = 1; } else { // do not beep diff --git a/build/firmware.bin b/build/firmware.bin deleted file mode 100644 index ce6025997a90e901d5d0869dfd19ff87aae2ca19..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20276 zcmeIadstIf);PS+B{x6`#3}~VBnK=IF9Ez@y$};P0#UKjb}V*g0%&_eFGg`%>h!G# zwJoS^(P&kewvO74wzb6wt+Ztl#kSUJn^f)Kt)jL@pqIn_1d#mJ4$wO9_r1^aet&%5 z?|Yu#E6+arzV_N{ueJ7Cd+&(cNHcCelCNZt{4oeN2#-T}5(4^9|5icS>Y?&ti2nj% zCGPv*40|H}`)&WbF#n&l`%lyPx9p!qd7H9UvXCiBsiQ5bBXs5m9f&6w#;&Y?K!P;5 zF$eRM*HV_~BUBa@te4m0@?}tu>nK(w5_*)_=Z#VmsrCi-+7XLKEP6WnL#5P%Vu@)F ziuCN=3*I*Qy^6((MU>DL_`j>O*PqWqavZJ<#U$WEW+Fjy%)S7R_Zb0e!w{^Rjbf27 z{#a^l$ik3CE20l5Lp*dFZzg6-S~p6FIWXR=-3!npzqkH&s*w^JT*%cl1Q#H=aG2aI zn)QpMY&^G{4QIEpfu8n2yd{P^FJ>ko{ajBTHz9Gv6|#*88~q8H+#u5Kc+M>9?17%9 z0MgXo7B`N!{Pk!~=P5g;7sX~CMH=WEks}ac4b4bNvL^vjgIGp1h+7FX<%);UQmq^4 zlVVxLIVjy`l$*&Zxc;OlFFor$2dxM*w2k<}M|ZtljC+6Ti{}D82Lm}m!0?$5xePSY zQ~WSrp7p+vhXY9rYuPG95WfO$S{BJC=uX zUAIrDMSW!F)n@4+(}Oz*jnme3}xo^BQDb71U~zB*GF zd(1V5h2F+%K0JG$4{3k)5!zNCiobaoN|G-DJrExV*lW+2tNm!n0VmNX>4rHkS!td; zO42$pW3uxeejH!zCsC&PsGn5kn-!yoR?U2c^M3we-d;c7EYvC@Py*26fr*^t((yKA ztOktA#!3dMU|gX7$kcNwpOdFcRY@?PkgIxLUse?z?aVWuY(|vn+(j(zp z81uE@m}hxKRgM24tvvUvJg=rG~Pdh|let4T(h|&JQ-*O+_hDSQm`}z=?Wa} z2nsmup5i*zPnYlBhJH zj)VMbmP(M3fAEn`T>7CuM5!S5K+Rsh!mj| zK9{i^^m;BE?VQkC?w`=Rx%VHf3fcbF5LnOmS`~_D=ib(kkN_19wU^<$xp!BezsJ$n z+u?FnIsa__qT`#H@;+HlKy^UOv%?rD3M3%_G~NR1xCL!ADLhzb9NiGmoNQL5Y!Y7v zjq!j1V`CKF+c39j2dp@ur#+;8ednR~DTeToOyCv*PIv`mZhdF}`^6=B@&E9VlJ~Qoo5En_jN!Oeb1@O3`O+0Uixy%^3$>W}u>U4@xk4j(40ITi13pd|u<9 zfo_J99sZZy)xCUMmLkiv6*TP2N|^`iWutKah6CbKH&Dcl;*s#;hJ`n-iI2E*)rA>& zbj(M9%Xo8!oyG8%nSlq%eXRsJj8y@wZvjiOCK%tu7N?s#zDbF6egbkDRdE9L=^BO0 z#~%*_j_(Wv+z_zbA?7bPCNsr1a=HU+w%tIw*KXAKml}4PsLPcw7d5M7YehlRppFKa zDO^z_t}rY&9T1CvYxjWG$@R#pa*v*FLm@T(6$o?0k_`HCjlT#j0bG`_HU0+-bX#gz zt@x9sR{TNfR@e9+F`N~{+;rR8u(P7v4fKSVcGvj-yS>$oPy+=O(>SL$L+^XHDa zidobr7uK1L9j_Wp9j_)U(+h#Rg{&RsXJ@S$=JqGo9CTUPC72`D07vM?I+f{Et9Om5 zV=;N6b#L+-Xt{|<4lBBZy&qcM&({0nD`1_~S&++O zGhuI0L}jI~hTLj4AE4y3S<2;rAI5DEm!;~#F+yM$t^(OxXb7n)$k^XXAUx*Xfn6{eW1a0nPx8y-V}DXn=S(#M2<&quIzy zs-h#P($K1^2s5nVQbTmaMu;DPxS>>4U4L76m2L|IUTH+N;tk-JP9^3Ly6uS|hhR?G z+Zr0=kn-!GS5iWKHU0v_o4}_NSXg6wm`_qgw;5!$;%%h|IL!)sK)Z(8MYpYyk-Hvy z0`nKK3;Nj6F3e+Dy&bn|{7(QcJ&OKpssNeCyvv~qfT#rCT?#vN{78V~t`%=7d3CM$ ziw1bN3E+(c%$l$+0N!l^h)o#wKQrEQ0sl6kU4!d#26WFF&GB1=KHZCT^~R$$VqVam z$?2?_pJ$d-AkropdLqE<5r zfpYqbv`78tlbh7yl? zM`X&Y>YY@&!(TR&X}MV}(I0e47z*GN!5;xwWua>Sypu#9C4iL73+p3=Ya(BYCFcEBgp62{oOUYWXMHznZusE+N&~wF)cS)pA z>ufk&>sNve_LTB~_()I}{R!6ZMJTcVW|i$Rxw;*P%bm;BOTq5l?mXJp-Z4p^*1hHM zWv2w@dDI^X?awIlK$8^!hvxzBS`Cr3vkm1O5LYNkZ)Qwd=hKI`_qDa7#PvOE4}*5J zZvZ_*vfG`;_6bXzeL{OuBJzCejLk%?CekTJ%I1UrB8Q!`v>!B=@(k$aNGF+aKztM= zjMJob<{qXJ(mG#xpGa8Wx%@EjjvP1)W*YG!6d;}?Luc)@2YF!*1ZraAn@aI#xEYf$owsl9K!#->|^k8L(;eR zb+lhEdq+f?y5I8J@@OfdH1`>bh(t=U}VB@&iwtDz5v`vbS8#8rxshA}3H-Sldff zIkUkx1x=*l_mzDNUhy?Cs-a4a{o@;Db?|*Rvr0|z$z_FQ6U)CKb?I|jCW#;kPuzE4sg@eRl#hJ_HG1E{_?F+zEU5^-rPXYjK(qb-4MMV6BS} z3)WT#Yf_=+7_SW0e8i(*&8*Dhh3Q$*C0@|%5Zq z30=Ll6G6(&SXT3$d~QPrO4+h{c3Eg?N|~ipU$&)mx+RJm=;;qk%Y>5;C7f_*s=>?V zpFINOZ4nV#en{_*abq%_kegrl?3GA}pS?_Wty=>+3(^}3*IrRUy!JBHwXV3z z8IgUi5W&gc`dcG`Q%t}q&9}mU6U@K~{#(ek7Wh3Gf&s#F5O@e1AUqGj2w@WhG>tH# zNrZ7Vq}SlQoG{uUei6b8I3GqBKb0d*I;43xlS4|v7zX(Y$ZvxDLK)JmgLDammmq9~ z&<|xur_@Gd5<*JgyIU%@<(9GiiPf`wE3`9xIAw;^{9wugsjOgX1EhGIT2tm*p?eXh zieL7vV7B-$PK1RKl}h`99ROdb9g{UCC#39%NV?0)&XkQPUtGSW{LAu}suAo>3TLcy zvU7&>Y%`q%x{wa<-P#ceIr5>ou#IGX>MKYM~x{ zP#EI?gjkqg4Cs{9TKPf=TgWZ1V>kxRXBg{1&mZqttv^~B&K%6A)(^Hru_2bw%ouy9 zC3H~?oITzMOtP=g5Yq^utyext^dg-`D+Q_?92fv!7t?zsjDgny(?2**osu!s?y5f9 ztYpYo6qnO!RfQcq+dL{aY=>$GA?zFoXU;Yg({h0Ov@8KN^mJ+`DddPyVwN71JES{E zVZ5Idx}juUadlsZKnSDn&>BKWxRb~xu-F451Xur~UF+75xe~s(25P3U^7Mlc z$Frk&XtSmQ+9+8RQ4MY6SEN~GP$y@j;1myVT6^0EUwe-a`x{aHfq<&4p%rwMv&=+a z$ucS6B<>hKiA#@wGf;eXc6#wk)r2sq_o$81V0s_TKWh7YAq6RkLsCTu3;L06l@N*| zSVG7RrbB}1MZvTpm>z6H2h;O|FJQh)WLA3_6K@q1&VEl7vU8z&PT$A zULx#3CDw$oK9gi?A0jHb9rDX`w66QNucNa z08w>Kv>(BBdF=ddxYdaW^t?E*$O2XfQV*5jJ>|Jz%gn#ymh?MR1Xv~pfTJAIHWJR` zo*%dme6W%BeQin9C#HI^HY2YjCGRqYUwNx7iL5k*UP+q%F6_z|VP~gd|DKUOBTHpn zX9}@uHjikFBnRz^aj+hl14-E`*nuxQN9j>}1+TKxS_W3&?S4`_3gTV;Wk(Q$=Xgy_ z=Si&*`0(X^6z}(yvb_g=!Q?a|LwvBcPYDF30-$48$=Iur9~C2kUWcH(`B0dh0wS2N+mC zEe8EG9@0?|u+GQ&eH5gdXp_kRX{@`#AdmMGHN-IxMnl-&J~Ow3N#8`4#62@X0Ni+|e zb2={+ZtLr4!@V!{XR%V~@m>E$?V+n~7Bn;+3=qi25_T4@;Mai^K2-VgcPxzsI0V^1UXrmUuh#DCbyH7mu#j^UUw<~vd!I0rc<3f zLpi9boX*i^cQeYBGjzVYS()_)fU|KL_QFyN*2tB%E!8H04q}}nXhKOyQ`;$+3qIu@o=?boct-Sg8p_w^m;@!X zud=0!%6dvr26Z=Lv}`JSwpo^PR*dBdA+gsOERh1{vAz6n>G8dIb}JTX*?1P$jfOlb zgtCVQ?;_}s;TlD6F!dTC(7n>vq-{Ja?J8lltN~=H&yVA?Vib(j1!+98^bjHZ>R0M; zjdVM#hj4qi6|CM3KLt3%<90CW<>8tNLb%|U2WzAb1T|kn4YUeEKIJDWgE#=J6T{^I z>(k-%UP1s*rYblh^sOF-3gz$k@%-@|&x$dC9Pyz|Fmd*Te&VH?W^KlN$-g z=gsKA=go9_4e;bk14^Cbkb#xo3%negBUnQT;co$qy^alo@<_+o=CGQPJI*$TuZ;kk z$Tbjlh}Pj1{up#MZb1mO1JJ$z&oO6_3QEp4tC(wI>bA4Z_hg=J9+N&6`Yj9)Rq^a+ zAP=84qaB}#a{e=r3a}>zct&z)a1}-Y75@~FFzQ3|#f5X@SvmCk>dq48OEK0kj8Xg$ zMrWHxE&#g?AUqw!C?4=w9mEKyo&byhn@*IE`AMZx9l9GAY2YHOAz9N zxzmsWejA{*7>ajb7VrlloE^YC0o*!N{=-mt6O`lm*F!mu>!5s)9)sncq4G0B<);P+ zot{${CUdHwEvvFd|F*uVAopQmpa&+wI)k48l5aMFEq2sqS_nQyF}A}{9IR+D;UKE! zStR!|U=8raC!5LXIh{sE!`{qanZMF{FIZ2mftlG@R&%)=AyjS_nhMRJ*K(n~n~?%- zqvm3}X-0rLq+umH&o)!#NO=4X$>2N<(lDxm+KIqcp_q|c4bYELQHPL_cZX)eG!#&h zqHzDEcVuuEr406hvYAN8x})O~SO;fh0b>frEOuBOoVi6oIjqGtRW?o)=NH>3h)D-o z6>b@4{j;^wIx_o`)!4l+xF?xf6yPT$0{qy35xx%wWSB-9v7W^HE4-Ni-AEW0LM#Uz ziTBY2i%?r>djTYZ2Z^Y%O zcnArf_cL522e_=Mv_cF!Po-57^mXtYuzi5y!S7oz;2ZpdHzDvRuSLSf{`%W;BNC!q z2!&gQ{iKJv5!oTeJlL;w!lpI4PCgm$-4Fx4@*o}{^I&Bsz$iHa=mATm6`vd7vA|9f z(a)$!S!*62lD^G^yvq6rk+4uAkpmt98dS#GOIAoLWZUR%@=Qfmu1M=8 z+Ym<{!Y4G3ibzLRsqHb@R0JD++Ua|m_ILlqIEH>-_Ox+Sr=!Zi;LN0c0Q}& zknm>jehz7>qW6QJI38ME@nIf%07gLZH@sTg63G3d_f+tG!0XkNV@o;Dj+2J5quKBa zp|;2Cj9R$W?bTQ{`SF0!DZmC}^+l7BDa=QV!l7Ya7848xz?BGnSxhv{WaqN?h{WvB zBXRn9-j7u|3MFq1*gGPFbHcv;Lf zs2x*TiEfNYMCW88VQMd3<*BoIvb;JJ{H7=~U2)DwRYr`9||PB$$znFW%96ksz& zALjjQNuoZ*OI49G)#IW$YCE)Hb=;iaL9x*b;XbPaxRUivy+A*s{ zs+*Yke9X&Yyb@%=kK$83j79YcRV7Pd1Q{hyp&W`T3(WdSpQMjWCN$wJ*ltWn+*p>F zDRHE-N_Y=txKEKtT}1j*9?7=Pp&g+m7#S=0sCSV=$0{xKAtQ_54pp}W(n{Iyy!*?S zOVhe$e4yApE~qgF+ew4%kWebN`1XSB{5FJlAOL@&gjgiU{JD*tz;5P3ZBo_&p00`o zZ^*DsG;6}xtD=UFJVK=45{BC&HMzj6Fp$A~9Cwc(mB_qw+t`qs9QHP<)bE-SuIv!a z1|2teXT4oI(#ez!qFH$o zZtr!!^|<8$$x<|8zBUtW=CCkU@%#(bPbG|DrR;7ttP%-Fd0k^+!VFJHBT7I*9ls2| z8+p3TB-tdIl*vsi5(tkiq1&s0T}dLP+Gry2LNpg)MSAMeWF3C5V%Dt64AISMDu$k~ z^YX@32^x<*;i?y($Y|h%hHCmt!Wd6U!g+5Avodp&m|=LZNyXt2#sY6eSYaFk!E9}c z=9GdlJi;2~igteEQ*m)z)HtOzUP{1tWD_UtyAWNrDq)l>L?_oR%|u!lS-Fx!li#ws z+jsI>PAkxa7Vw(uMU#!fEBs1Uo)`*PT$af%Ft!4&()7K{z(_=5j-)Lx{k>KR#pL7j z``Ik@4&IRiJ>3I@VNsCAlHjf~3uCKPaawL1V0w==L>hYGG)Uci0S@b}(RDh|xf)Vu zRq8(SEs|!ss+_<2R9KdgQBZ}pWPV){*vs>Hn6eg)ot#R0!6x_n6go|h^aT4BQzz9S$LCm<+mzjTu6 z6())$;N1q*6jMSNwwk)VQLM7Q%%rR(T0uiJn#RLxE)U)(NZ2Pt-$ql{#nPy6)#rly z2fSunL_om%M{2DE=5F@fFVb_uCSVQnLlhD`;`nS8r({#=3az88@hpC42)p93{ie{1 zTCO0kVTJ zEVLIm@dsZhw>&!Pe5gMBVyN{@)Y&Dt)gvO^7K)g08bO8{)aN{TrW;ER$myK#hvk;jENN}dap_1(W-IJDI#I`#uI0~?8ErRLJ(_Z>?n35tF43glg0?( z)xWuPb%CBmfjF=|MZkEXxYrc^E%p=wJ+B0S^6~ASBvuEx@cyJ=>C8a0Z#wJqrPjhL zAvi^qvkYJ#33DMqN~j}xYij*MuraZ{f!8bw*6dSP=rT9Fi=?w9St<_cBi3!kiLB!^Bsk-+wXB?;DD zYCWOp_bmh;Jrlwb2(oN>t%}2Ei%Lob*iGmShZ6u#AkcFr0NSt~X-FfLOmQE%)N$U$#7{@eDnE2r1lG$$<<65K=x|izEn{x{lleTblYq}&y zkP(R&zvITwJ!G8NLJ;p7L(S)`2ilYrp`UmWF-Ql{G*K*BZLbfToFhz-C>lpU&dh!t zT1$qYsS5w)`>tsIzm=UUIO`j2`yHk#|GX~(PGd%U9b7c|?Rf{MoP=#?hxLp%%o3Iz z&4JEo4`Fi6*!oBM;~t`lm<_U7gf;NS0)nZ7*E%1=840$JF|CcJaD;+$r#PCe6bpb9g*VWzZsentcE$jp-cdsSlv|EbsoJd$XBNV=+G8#eTv50)}&# zXfKnM%|&+Fr%v(iD*}r)a$i9nw^TCUg>%NGrcQq8zJh&4kr2nYZWQSRDS4v+zetMJ ztP)7@6Dm2f)2efJS*NPJ;n@z8#JjJHFIXCc8RCVRcJ2kcSXsZX2w(y9Z0;K$F6ART zz$O7W2Ej*ae!+ADn;g?FwRV_Z#ChN{pq4IZ!3TQW0}`N473?n)uJtu;f`x*VxrG2;BA~Cv%h-t7(UJ>Aah-OF-h8fL zJ2%FnGQxXf*b{CR>@Q*jio!G5Um(`&*{^(W7qNmy`gXxBhzaSff-^w5Yd+jA658E8 zb3*eYln<7Pn5J_GivPvJ-$e=%5tt2UuleS$Q2ER@H%=LV?N1+aEzI@={nahWz6X|onRmt^ClbAKv0=Ot%MGN z3~MzT3wcpvz&3)^5}JOrud|~HQ7dT6MIWK*^vP(_Cs8HhdoR%%2jiP*sqBqnZ*P>9&Uv$v4 z+9T=WR~|I28j7DBiXR_}Z60ezm`f5Tjg$0-c4f3EB&PtvI8NQkr;T#Oncp&xv;Gls zXejqb>+85~!eHI&)~mPof*ju44qF1M)U-Qp--u6xXPO^aoM zkM;SGF3)$GTLwX%{2+Y8l9&2u-4d`B-}Di2ulvZjDjyZM$4AHQ@&(TfXpk$o%Rn&@ zkXDHhyfQ*b%8lGu(|O$&%G)8 z6MVDz{w2!HYGUfo3ft(!+kI+2^b#s;hL&J z+-2*#p8O!tvm;p7eeq4-JGShF7R#lf{$eFaeWZ>lfhnZ0&FwDesl=-YG2C2o3=(2d!D%WrVUP0a&P9}v{4Y_ zQ!>Ni6z-xV>Ow0AR*jbd4G#D21+f43!E2ToJj(zUX&2Dcw9auK+=Th!-eY{|-W$FY zydK3VFsGzGo=xE{Nv^uoE zS_$wG0zF^$;@!TINbC9s+>f2;9o+5D-U{@5+Uth3{MW@VApK#mRTZ(mYX`LYV5rr{ z@E!!qk`?eX)J9oeswUr~+LRI<8^t}$!3oKUcTJ<%p5QM7BC-yPw84U6fWUQ8_8>f`tpoZ0InWmzbsRsM1y9s5#i`mciYTBy)+m*GFcGK7KxaD?%Dk zRX?`Cn3b{lZ(`n7c{9FiB*c&M1OxX<`l<Bzrm6&_Oci9-+V|YBYj2gtohf+78#B_%G@Q_2xy; zo0<#LK}Ij3KBlYNNG z#kJ;8bFNh>C{eU$e74P&3n!$Y8Gy?G?;z@%s7;%bx9`!$rwVRa6gu+|_wa;TGd zz0Hz`Vmpm%r<*64)2$owvpG{CRRC6)YxwRYI0opY!7)zUJqFyLm|G-F1VEx-KS!|7 zkMf=cTA@N*9=ZtVQ}}zGba=D}rkm4SB%{);Ct$o2ca29C8ETAncaim!%$Vi=eNqnVM z>meJB@k)=O-WosIV~_6zyhzh-IAhnDHJ$5?rWQg+nk%ip_@au`MNkSp3%o3aSRkP; zvNAuapvhOpjj)X^iYd|xAw;Y|&@s@0fKhN83A7no@HO<`%4vXOM%bbX_Sn=#+D`j? zov@dj-y%sewa}4!^3?^O`0NW(Yb(S#1{!1&ZlS4rJVYEX&NcAjoF%ZofhW&!mtbX$ z?>qqhIq-l=c^3}^ISz2bXqAHQ+j3e0gY(1RSlVUXhhzkj1UiUVH? z@IXLULs()|AsFFD$bp`W0R}kb_qPw&cfdEtA?C{b;h7As3~s$U zFw}bJei>FTc+ZS^>=vB7!8t(?9=x1@7YCQ}F9Da)!8pWxDgV1*i?zco07kF{z`!wv z@skhE2x6(CtwsjCD=asgjcPMlpsdHV2d@K0_yur~gFlZs02M&&%?rM{%v+%b9cIGZ z4PHH7FZgw+3;O++@aW&*A!MpRraQ_oN=KqAx?EVjZC>7tUVlFZstq^DZ zCc&v7!5x8EMh6l+%W#g<;Jg0Zb6{U&1D_#{8ob~NlNw$M>4ZdhF?0#+7PRz|Rl_tD z;vSpC`-UVPflEX+g<6&`GcTc&LNY@wrl?9&_qmX4vI#LJ_$|L2PVxNb26`s=`+F4P zot_8#>pUhMK1AE`KNCH_uQQ(DOw_x$rT}?VIxL{E0xe$ zbM?$WPk(zV`iotkEjW2^L+XiVj$d~dyC=D2Zo)m^h!w{5=8vhi`|4(2oO5b!`Me2fFQ=VP^QQ&U zTGHy%{v&Nt+BfrT^9JT_pBq27Vb01q5pzz@u9*Gk?1i(_Av_A{)3YO>ykSl}v>BLd zoA=GUNzktz`Ue2cd4M+|t$g08xfkcu&9>e5W9pQdrs+(I$B;E;yZ+tyU2%WVwT*u? z`jasoDwR?bHclQvBlK(E&7P)?pMM?kQ^xJeAHuI6x@x=h;J=ZPHRl-PD93Sh~I|1EUj|h*m*C^{eDjDoIlJix$nhU8&aQ~ z$<7F${zb~FWLZ+h)Q~BEnRG6ZNqFquH{wn+En0ZfG=5}^T-`h7hkL$I9f)`?eDR2J zp||Bnq|eZENQ6$_DeueiNA=w7bhd929{FX~Pcbdh+v1PyH#@JRrqSQexKeOwPvh0^ zCSTZcuG?$(_`lwEcGj7;(;t87IQ9G&>pn00?9j<84Z4QEp70%i^tj*sraR9a?+$Sz z2=S19)9rUZdfa#XuP1a3R~inTEc=|dwcXS0-Ewa7g{$A~ zX)L%j-Es@$dBbe~<41fsVkLz~;b|z()fU2iDy=+VAZ<*ZZ-5 zlbF5Z2AZ#VsDxw);W`s&-4ivOiL|AOc9GZ#)BK522k`^l*f z-#8NY-h*$)?cK8H>FpObzp|n9+2>ZxT#@nze!=_60RjaO1cC@g2qF>@G$lbv_r=*X z$@r6kKhyAMI{wVSpPBfR3ZD@nX!2yuqE%~Gttr;5d@8@-iIpoflPCXM@d{hPB%{U~3{|GP$uswh?IL%Bp=rxFYVj)`j=wlh|0%S-^PMJ1+#>~`N^G)XT4EC;q z{DQ(2D<4JZEdt4tAdG;3?TH{@_;ix+R-HnclMsf>{{I#eLkNvbIs5Lk$R}_8^t}YZ zFE0P*-~UkHe<<+(0R<+(I}l_*C?1VM9@)DI? zG^SP%O;h%U3vexq#=0Z-a&?Ec( RZw1gisFU_((>PW__+LP9gG2xT diff --git a/build/firmware.elf b/build/firmware.elf deleted file mode 100644 index 6a0344cda98d640b4d6cb09f98149d6ee0d4e6fe..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 391284 zcmeFYd3aRC);C&v=wT-f>7)@t2IzDrn1P{57=jFGy2B+P!T~p0-i8w0Hq;u*qO-g1n9fg?nF87z2Eb^_m6wO z@7}M_+Iy|4RjXG0YSpTtca~3?E;0;5djGK`o*)-JnP^ABo9|E&!V=XeVk8`t^(S{I zG$Q;Ea6TqpCj@lLyJD)DzV`iZgns=R7Y*3aGzzD;@z&b#f_FC%?#$;paU}4A;DpKO&cworK)=R;`^K zj^#&W?TQoiCqas_gKX%RUcTeq#aR0@Z@kmrxznF5`7NJ&NsWak#xlSgvG_Voj=i7yTOtW#a;J(}SfUBf>vR$eYLP-K(anBH^>g=HwV%-B4l@3$| zP_Ryo_}JEYHs7gDR~tq`e}XO~xspmNBO~2;_9NGbQL)$hn-_4jY=yoL6cb#(dG9(H zQL0aO0q*g);VxIHU+XWLmPU(V5h3FCr(m>9#uCTgeFx3ajM3lulm7+VPz9OzlBA{E z7s}OHd$&i86tV1k|MRv{N}1$MD*4?z?3$GAxyBSOdTQ)bN1S&Pm2=NiO!Es&`5q>n zFaO%DEjL7gelr;nUb9MKIKW+bZ^p!StN6c|ENvubeVwFNxFy{TfrDU~SYS}L@v#fA`9Z~5H=~{K;vlbF^ zpk|J3wY;X}Kn>?s}u_e{MaLdOXWI-^ryWcecC~Mn3R~nP%-Y9RjRQb-9>B=|BozT*FkFJs= zrF!_v%BSXNNXrhecr{3z2YWsP6eHagHdpIr*O@6WXUvTGoLgH_Ni>Ow7|~`+td=Bd zYnT*!xW%5$4#o!icT4aGubEei)$_Va^iivMXgNNty#5unBCvzkcCTl5h`jFB{j} zSWm#iHp&}}Br&Jn+RB)B$QvxHq4a@9zxnqoRX(+)aEkxB@F6kt-uY`j0ngw)kxY5F z)E4RP@7*syv#pZcojy6e(!DS}k`dg9Zi|zwNBaZeNxgA#RedTiB$?dSc!!TP;az z!*=bKZI&vz!LnOUhxc)KiqiunCXAyk$5e{qDaGAb9czI!N<2)KJsaeeagk_ zY34Ad!baM5x?atyfI0FHSC|`fKk^0X1!gOhY;%=mZH1CIU4na)EL#ABMv|1_=>wiR z#q%#1MVp6=I8d|PR^|Jl_CQUV`?D!kzQ(fJ)>2nC*pe+)`A(64wXh+&nkwHnt}5R# z*KCmZe%n*$PEMHvaXnXzbPw)Y;~U(yw(B3wf@XVj5RB*h&4Li=-qsuxx(YS59o)2qVrU@g6p5dHb#pI5XpA&HgI{JmF=SbIaRre6Vp#pHX_rv1(Pu(;IAiKXC?JLKmr`+c)ZHpxB<8SxpQm*(E@%iD%Kjr8kYL%0b3 zyEMms56pJB3AKK*i*FwU1N6e338fIR6Df_yA(fUio^y;PkzFC$nHtt*n z^d&;ni&e5@tc!?*Ia4@yzdYA6$F@T*2Cv-;Q76}GW0m}qzBXdN??KB6Sy#)qEYY2iwY7jJy!qPwzQ5Lzwh(ec zCbh)v?|ix&XD5t_k%f3*Si!+FVFd%;bMK1%z7i;TtSA1z39GU0n{oGXWLv6y*05`NY~1)yQc(|X<_giEw#6W0%f+^dZ8G>!z-d<2Q+ZxnOqzwia$jvnFkaJ)LKJN-dQmgcfO9OF)NWa2LH(kHq zH`BP^mt*0#2%%x2jLYUqekw9_HSAIrJ+)dcGrFJJA+OxCT3&CgmSaKJ>XIGuQX_Z< zMC{GR*JTU5x4?T8ytf)xxm1;rq1=k#%F0kXjNvRxWauh*-vjTu6^1?4SEX0@79IFX z1F4cPfxoot(L?x_M-&f1PubQSta!+pix5{*g1!5F1(r9#PX~)I#w9whs*-Q9XsYC^ zdJlM-1J;06Be$7vS)^e%Kl}*#7qc1al#tEnW7E3YuI%?c0>1PR`J1f_<~;hYlazr* zJ^1b{SfS(lf}Ywc`HJ2ZQ6>Lo1mA50eSN{QMvMjEyN#f+5q1A-%KJ6ozl~&bU|fzv z>{(`NNhaL#Sau=osm(rjlTac*C6%s$_#`&V#gYTkeqTeQXgDWpWJiFrE zZ~n=PaW$>IY&VXsrUK&|Njy;BCqr9V?dHHI3}?O*+DKa}vyw zNxE)U`cZbRz!~#FGa*)-NzWdrv5J{?+$Z+hYDg~uZ!Upoc>to>8$iDy?v^JIz9p99 zTV}CUa+5w}=WcmYqrdaK4_8T&l-6FiyUM4BJ=kOV9rA-pEcz>q-}8`D^2e@htF7jG z`R+CDIT5p9-@C!Rue-HvXj0nsb-T~GRnX6UzA%tKuFr!=RsbHJ2fpjDgz@eclD$Ko zt7q#oqSD%**u9~CX!EJ3Foa646T1O@l&v)+F4AN|4-Ex>_ zGMrzuuyU@r0g+389Aa~rn@!juKLj((X-sR+-OVMWwZHrUld!yf&TjA>EqEC8HVXFt zpVe4x#2xT@N&h~e#+LIfB^*;u+~Y;?DVM+VNgvdaO0X8kI; zLSJ`nfQg&NXVzUC7=~wYYijM6jl&y<8|#hrta;eA&g_`Xm}$9=pwgJ>?vAbnKgus| zgH#5|6`eh3=Aaq&@0jEu*IT8Zz!^6veM5I!>&4Q4%EWl^4?bqiF5nOR!RN2p3H--@ z@}+mVKKg@;DNTl*N{_C#Bp0Vd3qZ+Q3U?d_f{AewyhlMA11S~KU63X~f}P^RgPD3~ zxwr>*esB`!79ad5YulO#(c1b-3~>X((vmKw(wzxsQ;0+c*W0C^z^V90IlQhi0?)^n zN)N*Gy^P8T&XrtRSUP0QcM%65XXmua$o+DVE7&!@^xc`;o3&hQX$qV_VZKCKTW5{> zQ9gf(Bz^$BdUM8snptpKc-xlbx+Ja;CAiVhp0CUdbE*>ghKkIvr37}qcdXblE%Omm zJF#WXh5E@P2~TR}HtqSctVpLSiEnr%D-w46R!OzumCW2n%#gZKi{j(A#}otKcN^Wag6@=iG)855(n_&KD)4ZiRD6 z#_=|5lnd7Im6BC=yv-HGmAGV8?SiZok_-6SsB(yjE?Hd*xYoL4rg0n8{!u=15pb>j z0m`VQF_u=>xxoJYg{^|bdOS!3MB_zdZpxJ z7g0*aWgIF@pBA~a9wIxW+EVA_X<5iVi%%4xZqfv($eFleb&revu@2-YhKtxU~LlIvF>5+G42!B_>m9` z`H-#`+rofj?+c!m3ipuT+zQIdb7mflaGE5Bjd09%{OE{q+7w!|N2-Q1D73KyQY`c@ z3SvrXm3F#HEOgE}Xm*<6e#Y#$)%lm34#&?9v(v8dsnr2F5*w5ioDo$LoE1DH3ho{+ z`G=OwH8P_ZsijLhRIVo`V~iS5*?H?0oOKcJdC&%q1LCjr)1)_Bsy6RAaZPV#V@X_g zyThQ{dE#2XT-`>)Mn>9nE5v-_8Z#;zye~#%poAaIZDOTt8FK9Eo!WBsMphc+W2Nhm zvuyF6?ly^$`rqV@jFfOQQA`l=1ja};HwNsnR1?MxQBGe)+=n`rr~5}uwihb5Zsy5M zTL=^C(pQ&MP1D~oBR%4M*aHp4Q_mCoVci=g#)j_iShjq?`H-3Wp=6Y(P2UOcc(I=g zWEPcyj9w(6dq75eUOlZ8%CurQ+~R>vORjq1S<>mn^G5g$zu!<=*9@`BU25acPqPVd z6L$b_;?hIm4ixXsj?H{w4IG5$}EZ`-;Ap&H<$=S5-37)EmUKKnfCB8#A>x$nr=Va>lzl+`ES zcD?c8cVrn>qN9u6fxC%!Kq&3{f*x4SP$=G zMwMfgqijZ5)($9ZcD#~RmObBr+&8cTI%XW|c+cVQ47w2yH{_Zd+F0`HByp~BLJ1@7 z@%3x*kCMM5aT7~Mh^wbFCO&30SY2?FNIQMzwY%lMIg!k6In08zK1T%}^QsT*UvzoR z)6;V8>ul=tm)BfglV`WWSr^yvC$jR_MmRuX@dNfn87rVXe{KHSEG%D$_bM0HyqUwSex*MDWZO4azWyNhLI@+N&OlMv*sEhj&D<&Q^4NYKHhxP zh*YkRG5;mwc_=A`y8h0wx8`L1D3`qzAu{FnW@Wca3t_D${?2o^W{IByg*pDtQ@5DP zALWw0Se7SFz7D_Yg!((5zcnKZb|EC9CkNM*XB5edw@{M*Cl?Ak6AS3k_i5=1_i@kO zx(m)=eM{bM8OeQWtA^cX*!hvkn{6TI-)R}iR@j2ij~x9TtjcF#W#?i29+Np{n!&Nm z7UVFl?b8y*2KE)vFdiAVMrImd1wQBQmqc32T!s=p#tb9y?hQ7kAG|l;DBVlU*vB8` zbQc?A1wVZ028s98lgc^8=g5-Nj4387!eMP4Gs~ET`;&58=6P5}jfUh6?jebD&)6H` zmkeu;8umW$+XKdvbl3~+7l`pZ#OwzlE@Ldm_TgT>Bx|5T}X8ol>WlAnl znNsJfQ=t~55 zwJ)s9-+Ajs{#wQ;z@FB318VA7qrbBqsJ?m*sNZkAwT4$pn{G^QJ zhI@ly#}jrCpAma?&=1B`;Y}{t;*D@J$?x{Wa6R;|^tY>}1s< z3{5#Bt?1I5Lo!qy=SSDVs^Kz6!J8YUa@1Z^r60*>q>)`ECT-Sx4sF&Wjy#8eYeEhB z&=T+&l0mHK4@-l6ZAgzh~L0N z{*r8)qzC!RqI6kb%}IJCb`x`qm@1yQrb#&=$GR9PvCFFDsKN5sF4rIQpf2p)+!--q zyohD}fhUEKcVFPQ2tKH{MCekeR~d=#QopKhaf#}VrDBX|fw|P}!}kd}99rrC8e3NH zVx-@FdJ~qYH^6vES9_&k^e*{0upu7hpw)A|C1s3s+NV`Y)a3+9zJU^uQZyg+F%^mp zpzCmNKIrJoGk9G@i=g}gMeOx4Ub`2F6uz;VJx%vatTPgL%KJt&+VE6}@v>h%bt7V>A|*w3HIK|6do58m8W_@lhzEsOZY zHFgzK{>3%2R;Tr-$|lvCHAxOPXziE9JW2SUB+ zex@>B{2b=P=hw)_&t}v1_tCePX<*g?eA#%rSo@ z$69(Vitn-L#I?RtVc!NCo=_}`2Rjxj79sTrSOnIzlYI0igTb)tc3af`Gw>TljEJYW zuYfuWDPG}@0R{eci;qDq^|!`>e=yRCTj&$utv&fa_2f4~KK8#F^6`BT@&kAT@;yEI z$9wXR-eOEi&WOTfr$O1v8XS>-jBhH;dz}vAz)%=xI48i&x7%PZwy(%G9nKhwaUVwF zU_=))!bh zeZcE`xbk&tTw=6ZC-xUZP6rpwKWL4CUv;~T4r6{iSacMuL94!Ow3-X^iCHK&nqQI= zEEcep34TdVw2T!eig(D&_~5;9Nt5b7ZdL~cdvd{=7|{y5&qaR5LZlt=TX}A}P(B{+ zc!=~m{H_W*lOfKU`EpJ9OLDFyqI`s?G7XTK$m|Rvjp*VlJqORI(`$?-C^bfC(rc0w zDsgFI;vJq5BHY5oX)4BvFpA?X6kQR)aBd2>^JOQ!Tt#Sf zn3G#i5}9+F4SeG)n_Xf#pun2pNxJ%{mnJ5q)N_^W*of#zC$|CjieO!es(kni&NOam zJmBT^rS7$wt&tpP*!l8tOVy?!8P7(&B**JvF8D}%s>hsV_>`;Eq?jcQr%mC? zg~}}G^`l-@H=E2DLqyoSnS9+tq{Mj-@ay!I;~^Ohr*U)1>ZLoKK7t%i= zfq#;OSfWM$TrUn5*E)lX)M7cDbPXb$hAiu4yHO`zkd3aey-W(`n4MeIwi-B9SXhOR zbKW7TRhoLfWnj={C!RJcBi^$moZl$hEhcB+H|q`R;ivc(JBu;Db}D0u@tB=IJ2^?s z@kua)XHHsF5+x5_@%U_2_lz z|IFyaUW@T!_zKxh@}f4%Q}m{EHf5!3*B^mj?@fQyxZ;7CB{ZO4+nE--PA3Y_KDXzw zgaM*j+#>2Kh_ugTYA8$?;|XdY2}C;RnhnoYF22R4S}oi3$&GUp7*A2c^?D<$N-8zi z!ZV4dBb}iRlEj@IX2S1P?8XHdL8ftyi=pQ0E^Wht1f!=U;X*y$$Qa>oqvzoZ-=WN4%PW z_Si4JoQH9;n=X;ub_ftL02oMhNLj@s5ut{7*G#4|Bq*Ti}<%qqR?nkQ-# zgTab(8trLwQGv6<_Ct-utdf}tsuus~_nTFmIUn!u$BW!QaYgobUcbdyW+)h|lvQOM zTB|oWW1P`o=^c(Bb@1t9FzY5ua9Qt&JZOTLYh+Chz3F4`40T3LrTcg9JQ04=XkoiU zQ|caswk8IPB%!1JU{8PYi?BzLPUiGL2isT-eHsY!ex7K~M~Q*ap^j*0fs?@gf=FX! z?Oto7!%^roLyUpmTK?fR7bX5Nw*Lf=s?-=xH9EP0ebrZPHoeToi41(V!8JxLWh^h+uGfc) z`sz}fzKUsv2+?301YdJ`aGhXboshk&Y#nD-gnt)tQdvLXYsMK2BwRmIt5nc;yXS71 zpP(Cz5#*94XqDz;u{wum4vIpv?c!Ol66;irO= zLe2y`j)$MfL8%92z9pELqm7b=)J2^1Fec)VH87j6A(3LOTAPHgt-#A(js-Xl@5 z631_|sXQ?eSaZ0{SibedInH^}-dIR-l}79*_7!6e!uONbQ1I2)YD@?HoiqG#u=kX~ z;vw=jTgZ2KQt)@a><8rITRkI16L29nMk={u{nxysMXxut3ceD8TU4!R2J6G1FD%Rw zZf}w!wR$@2F>$|vV-^l$_E}Bv>{|FPlFw94GdM|-7QUefPuDxb#geJ=B?Og<~r8M!n#-JtAca=-@E!NA=< z?ro4yt>SS$0}h2zgKBvAwdJR9f9G$0YveXt*GcFn$SXS)^WrL|ybMkdOwyws#yrF6 z0$M$cb*h@5<8)4Q!{8zJ&R30p!y4D5R`Fe2TarDuP#C(-$v3B~vLy|Zc;^mo@0`RoFQgn0&T`{k=;{Lts9mt4OR&a{pXv9&Luge|x_# zp8OwqCksw^`xpJG(~y748w$5E{p-t}k?eP;%ANY5xQ8xx9Iw}9=`tgo5OZ3C%(-^l z{gb3a9;T8R4|B5^Bk-yM#(WUI*7*ePNN|6QSX*r&geZOIoV-}!{7%E325iC3-aTnp zR#-r98|thBU(5EZMYHpDSo;{&)p~#DRKF{djqfeetYqqndWxuV!YqQbHVlkv$NdB*PEwhI>Xva zMhvfiyBKz~VQ&}YIcKRR*C1z|WoviMdb{B5;xKqe)m$nzNow{|0e+DbYg{0)a89Uj zvh5C&yTdWUP#=<6Zd292-Qg;jrRWT*hn}`?gMG2S`t4%S1==&6-+D3E71joO63}Cj zysYsz#0~c3h+S$`FJ8Hm#@qod<7O0h{Z94dM|%#tyx^ zT=0`u2Hzy{PkL4rn-A6%1aLTb%LxAJ@oz6Sfb{%&5?=&;9y`<2UOLMJeX&7$yWrF{ z663c0T*TK`by!De;afWrcf~omNn{f2?>MVET+2s$m|>XjTKsSkGeTYe?5FP*F_Y{~ zVhZ?6;eCqCkoF?c-lXPo9j`hEXK_H;n;7sV2I?B?%|*=kNY&}$xb_lNlKo`C2Iqh* zgB89vhBe`G!S-Ua#Btb@?FDjmlK8dv-C|KPs^2ZR0&hlrrQkRq_oEl(!a(l!o-@Xu zAwQ5OBc>A=>&?!K{#$(q`+I$Z6$gWF@X9RQ4p@er>XTLJG(dZO>Vq>@+k)yHPQhtx zFEKLqa53LO%q!8Ws>>Ceja+#_2aMQt_|`cvUYbn8o^+D-Mu)k*u`rU4)Y+w)Lck)0 zv!BH10q36qStV8H;VkSQbEJ)pJ<_64@x%BQo{O*H8&YbO7DVy~WB~4o# z5nw%IL^*vUX~Z`8EIvNMsjI=;KocaCz%o2N`r$WE{L2IQeJMWK!#D?K^^4tVi)#qa z+}^6O2c^5a+gmpfc5YoEd>uW?Y(L@*a+>XYk*R(8EVDhm$Rt@Kr@@P9j;Wh#04|2XE&`2ZjiM(LRYXau1OFk z&)8{O=uvgJ=IyjC=y^Zd^Pb=HF7i00=xS7P>Nr(baL1G;L3I=~L^~teU1|Ml;_UC( zqaA+%j`VPUalDRYg9Bx+J1$@ua6|2JfW~yHIr-$j zdmo=7c)}Wfl$Vyxn8JI64%fIDQuY{m~%EHZSuYF)FsdI@}?ZvE57Mv;$HW%ag|;!ZmXA%+w4{D40xDV z@LL9nf<$8UguquuWTbwTbD*ssemxBy8MK$lFPSRv-QGIq1J*;}g@ka3Jme$!|yn_dVfB^{iUG@byZg)?cP^9N2i zZFauKT{t}-_9AEN8CbF4G_+#Ab6YT!W}*3?`-RKMb3@y zJi{5i-b(!4SdmfQW|Y0G$ZqA_5VtS%$X@mC>)1BJ?Bwb}BS_VIZ?AR2`!HB&kaQt` z+NTHm&T@TD;hvm5om9jmeF*jx7nvm);_G3~?0C+bSd^DlyqAHS8Ti{2rPVhzzkr2r zL#r%UxZ8^*l>yr|%R3(Z(BHXHDZ75=P47R8GN)%{o$aY#3Q~o|m6|@M;r@1(2;ZUL zw^VQ=Q^Qo|4PxNL?yJx7`a72>^{<^-uIPSLsr4r4wuA16dUP-JrcA$F)IefSF?U`r zg4>kb%lVg!IC$eNnPp~*^NcF|bh8t7HT7nQ;PAV90j&Ss@HNXAmuLnn(oT~RY3qB@=+nq`Xd!3JhUTqe5-cp17aRLlTA!H~G{lre?F9SlS z?Us2<7S0A%n>e7pu5Fb~y^~Ep$A!uIp}Nyq&Y+CCHmg0yuKuSX-5~dyfV*xD{C1?u z$gy{M*$mn1nvCCyuvQYbj1XZW$<2h@fXQ&07dg0*S!e4j#-B`ZzNkq)bxbqm)O0wV zVg5eb@`gm`wCQ0IAA%9tpi!SLbS4&=+a0;+&xL@(Hh-bhmRZ-v8BRXfV6|q2J8-w| z!>_^Mgpc<DXDe`mL^7W}0H z=KGgkHj%A|ul|=edJPgAa>2_ch4jd3lh%Oj&ponN!GC^K=*ta(nSH(fDL9d1A5#A4 z!#>;V^-H{k&T9}u8ViHLhQ+v#F|zR63w-YeXK3`b-g5}je3mM6jL7uZ7w#zK6(ty(qgdD zy4YYh7YyFpJ1gUzt6&t(nY*01SZWWp=Q{L~oEWfm3X!VM`zIT*8CM_41d^VDtg z_ii7~-v-q;Fn_arz4MpriND3L&$ceAu+_l`_sujH>){j)`WNaQF$HHd2Vf45^x??i zoUYL90UZgxz?{B-_yHa`KdmrVx#E&4oY!0dSDfx#S=0x-VKJL%e+fo{<&x}uVFhMA zeP`~m>Fd9NJS~jQP8&uI)}w_oRek+6)qSz;)+M9uL+$B~mHC-YTOn5fyRe$x-%gY^ zAT9;kIDC5>@cW6qNo5WNO&qM}1lIX}^(O!;Qi%D%GXS5$Kg-m;t=%))p5CPDm+m+W z?H#_YJ+9bN1$A<7YpeZ=&DNwcL66|~H_)1bcK5c%*Uu=5ZFi-oH$B&*jh)LCSgO%> z&Y1zf99XJMQVFYbvUZcCVNLd&_zFjihi$ON>phlgNBl5PNqiUB#oD&O9lObHY+r7* zH8CdEUg7x78(thy47qS-fiFwpEwNA*R*@fG(C967_9+@z990}61u?M_!$*Mx1FfKp z3S)742^Jpa zCj1J`-Qi*4T=GPVOP-Je>l>Wp&CathvR3x21K#Z}+gZ2;oS3s3zA;X%4i|5DV>3Bt znJvu2c94QHTVD_Cg0q7?Z+C1-F0*MM*9Ey;&pWz46Fi{=V#0vT|85-)c2I%&k%=o7 z)a4xOoOK1k&Q%4fEchD>57$8ox7e8a;3BS&G23BBgJYnFv)i`4WzZK+^0Sc#p+^w$ zUhuPKqZ4{yiHY`izU7C%bb#;bC&IY|?>7QIt-sx;|MUSkpMwt=^tbtd;&EUT<_Ezy zPgd?v|M=Ay?v#|J!oWx(UWzW>0T#KBn#>>z-vMVA=f@Z(ih(hL|dU=XAckgV`W z$o|eLx6I%ve}4ObX9xV{ILKa+-`kVG$e{EVe~)y}@5?ZH%J0nRV^`qj4ekjPeemT3 zd~t9#|15YJue^ioXY;>TB$o6_fDT0hbl@9x{NjZ>f>^HjMXMQ3S2%ChS|jXifxa5C zhf@c%@Eh2mh4Vam04acXeV+2oW!~Hfh+#JLZaCHBc)?$X)zeW846BT7CY`@}4>k_}d8T>8)4(XT1=J=PBvs4*ZL7e`oRk(4N2C z-agoK@9liA-v45c{lC~V{>DdMl8V2pq8C~BmC57&n8B$qgWLSEW)sZdah8)#BmUN( zdlL2+nc!!{7y+l?GFt?EDP)oo;ftZOux}x=&N__d#zL&ITE44irV}_4agD)Qb7tFf z_>n;w!CAKO3fuLQL78kLG27s8`L%G1=R0|;bFlA5ry$?#ytlh#Y9s6gpr-L2*e@sv z?l0k+R3cZA8u>HrXK#S_+)lF`uTpEYLQt@-Pu~!|9x59z9RJs`?~X=(x%u;{NA9dk zJ^bXMi?xeuht_IpncDuf_S#jor)ozY+I)yT{Mg}!!xQT&>wd2rbEM=*#gY9-K7&*N z^q3>RL;l3N29RM7Z$32g&?%^Ahx!cY84CI?);@VC_3)i_Q;%%^Jo3x$j{fV|h2xfQ zKdyi5)V=>MI=kya$i<4Erd;jw%dfvTwq5T0y&F!4e6-f6Gw9n4pAL8^vSrZyrp_nTS4e z%x}a!e5X0#Wa8sPgNB!l(2QJ={AEhWXmQM=V^^j=KW^z=_m7XA@WX`XCJvlbF-en_ zpZ0EAL)!H;V!aOUcY)WWRZJQ<>A8tNOo*Lu|M;bMJwI+`>Z4=DF(ISBOj(eu8Cf-8lb-#6<| zj62?P?31s`k3Re5vM)+M-*x1CovH5W!`?#=9rD$_S({fIUmH|QAjJdyX05OGp+nw7 zPaihbov+((`wecKX8iTN?__jyd1|2h!Mn zvGub2v-)aO)3{$A{B5=526MtYvwds2kK80=0{bMtSAA6*9XzwoGa)-dzcl=GN7n#t zMBgagAY!C={3jm&&-tC--{wE=U+W+4|M=FBTgz_lyHVeLvg;GyYI$Vmp^j1QrLF&x zPW^W5mp7X3zS{V6*5&n$doH|tcJaRrr=IhCas2er-AA%&-~06FM{n$nd;i{d8a z`oxCQYhPZu;;CmAjGdcuzia9T$$p0T2_%M5UIbn&VR%kOM&1=yWK70K3O+{RV>CX- z;A1R4QsL1jhzuKMoUvfZf<=ps^B&7Dcx2vOkv+F)@oo9AQNZuV@tbiVm46Iu z2yj1u4ASOdmLwx_J~Exmd+6aQ;#6W8nVd3e^q8@!<0jke=~KkpGV%)w=gxbGkarlO z9SNxqq<~iXqzF(1C;}7#iU37`B0v$K2v7tl0u%v?07ZZzKoOt_Py{Ff6ak6=MSvne z5ugZA1SkR&0g3=cfFeKUNeGALNMf2&CS; z|JB}1k3oG?PP{iN?9nT~{GcMi|En2E?V$+#{}h3tYKRRMLgGn3;?ca9@LqyTXHB)H zPDo8oHKuA(y<=;}t{*#RZ1mWMG3&;R9dmZ{gAN1$#auyM~01jz_Mw? z=fkfJBg2HDT+*)zU&Oz7=cFNLV&|A^V|ZiCAbr&514j%jEk-1h{~#V zX==43$Qta@)%GDFR=q2q$yBWf)q*_z@SRXduDwWgLajl;KvNTiV=18c)vlb~Y*YyaY!M7R|01b;E? zz@UpPyxDGYov;jn;4@OKFZ5{s{BJIdvl5fu&gAi}hcr1J9{Ka~3yKIc2uRh~VKaz2 zNHarV!}xy89n1hOoQYse%rLqijl!b`0j6gtpny4?qq-4YOI*NKnOohuLH1D><4pP|W6F_SnBej*3?mJ+_3f z*_f013d-;r161I&s@Ig4M!*-(Z&YI@|BDI)HTXzZn&vfqv7FJSp#?)w!Qk)VsooAU zdhT8(9EvsL1jxUS`59Uvnj93mpJ@h$*PI1=xY?xF8iG~bEl8_)& zxdzB!>^DPLrTZi1qP&(%u0naG?UaD-O#nDI3Te>&HoUme8&Q_!QcwROJI(B8D@nK!;9Pgs{v+x}R_u^RtnT5>|sG zmxF-B3N9D|?rwxPUZ_WU7Sa~s9i(%SP8BXA9{1o7S%piOe=lN_9^}a*+LWM&p|p*XhZo2k$zBk8EyUx(tj1|u-(5R z?GQ3h|KE@<5PnDd9Z1g=im>nbNIxWuLYV@jox)mds}Sj8;h$g$Hy7zggo6Um^N@Z_ zxP)~dLb^ow8tr))=|zGG+jSznMEDflun6fV0zOrY^ip9U+B_fWWkN05^9a(<2u(0M zxJQwGR*6Jn<%9kL$T1dzIg-Aay{DSr@iUYb-_&2t@80j^_Us2Bz zq}K{h!;^a)>2<K4gh8190@AMs=Ib~xM47KF(WT!{xYBe$ ztxuVTTelxdghAM^SCAxqlabztbTQLcL}n{8r`Wz-*zz05Oyc`~hp=x)W~Zue1=_vy z2ryxqzR#kA>^=p|6PmvFqgC#ofGO1kOhGBdl>H>g0i`IY@FPi39PlWs@Sy>|y_eSz zb`z@JXNMdfv$cJ>kDh|2g{f%F$Jk#YSWxBw;>-vR^nruu53Ep#GM`{RFU&{!Q>0bG zVx&JqS}klr`D&Ec3aQwqe<2+tv?880Nb3ljgbmd4P^{H+b-!Z!BcOykg5^X&P2A^5 zvqBouUm(p3ClI|a1MPf*Z63vZt?&fqe}#0Aa2nx0hO|z&g!I=)_l3m>jXAy=o*_AZ zBR9BjafS)7p&*=R5r_~$M5|7sI4@Yx#lJ^dEo>BkK7+JYAeevA0d$Zs1Wot}X`S#Y z(q5$d3P%xwCY09)LdNgdRG9D&tlNt8V?rsm+krC20^vhO`nWJ3p4?5OztM!E3}KM* zXcDoHoWgvk!RXGZ73P%YJ`@ua=6j7B+tw*egT{?wp$cnjWDm-0ET{xmdwE144|2uI~Fa;A@-CQ!U^I zg2^3_XMkk^ssl)bxhS{*?eK+=2mh1shu}E`2r{4|1Xc+U1EUCYRk(^`|A4#+ShxW8 za#*P7FtJCluoUv{hQjb?fS3ma8Hi%VAjSg2B(aLfvR;vwQG^W02!)lVSLT+Wfi6Vk zLteza@CXz?)?3U44f)UFfmpkww^$c+TTOuSYf!)=J_Zd~z$|A!!(L8d9;Ku6)X-DyFMqHL2Z=^ zT6c#*?GCj5HKp}J@Yn(Sp?OK&Drf2Z$JyAG{X0!R7GiQ3Rnyn+gnbR^8F7 z=(}D;?Y)X@19}uWfQUi)|4>u~op}NjIZ%;LJqeHr{|WLk!htvgL@^TI0P!*sp9Ap$ z5HTn}*n<|G`O_j2LbNValpwr-im+?*1_FUydjSdT+AbupYhM8ogYvgqG~fbEFbD;K zFz}T69aPi=d0vnvrUn(!UV;Jn7i6269A>1M$z>Nq!7nfvufX7)RSI&sC7T#C`**@F zVTp-_Q%onQ;GjGp4@Epku<@ zHy1?mh)J&}T8I%OD^LvnV`gT7YxNq_4+6v{2t|+gH25SoLD;4E;<+UfzP0RD zk7OWbV+WL&%@IQj&W9AX1R}_E#Pqe7!K>nOa8{-$v-Ac468Cg~cYwqL5x{?ovo3{2 z{te_m$5}9n#kw`fhX(kKz+V79`abmQF^ayM$cN#q8>`fx6bbHJhgPI2~0Qz=Q#ii(u5^&i`4m61o&;#r6Y|A0WRCm3fuL_AKy26_c(qSeMC-{uZU1 z6uteT0MbrW+^q0-0uRlfhUVkf;7FZk81$2Xspl0933(8$puhp5#PAM0nAaFMPito@ zU@(cXpRYxUSMW%%YZNS{GNrUs-O@ah77sN&A^6+@X>0o)&9 z{teQAdpCCKF$IK3yy*$$?@^w3l8(>7K`CSy3#R2RxWEHp-c0aHq6m-2P%;XMNpjDXPQ1k$T;YHy34hB{M`YPf) z9NB>5V2d+>wah|*n%p4#7_!%~ZZooPAltzL`wOc22H24cErj_MxnGb|mapHCooNKw z)!3=u(WGC11t{>UAlZf8P);C?&|L`Q(A|3=$_-Z>K5#UN0ao#Mg&l*e;_m-KI|_gu zp%`6*^_X0X;_Qd8q1T|yqWJn@WIqHJ+Qn;$6g=86A2S$GM=7;G#@eG4NBKU1Ec$3M>mZ{d0bq_JPw=#xG@DKfR93s z>W|(UAXi{BudW7xv2Lun1#6Doi{w_UMnI_o;im9bR!}(9#8j9SD+&J8}F6js>=;U{f*< z_uov;INXmjcav0HeeoX#dFC}EO5ozld1jJzUM{CF9fdKynY#E1V0VYQ|31F^b zhKc^)jPzeZKvcml^X{CFjpuUS@0w9OFT(M{;1BX(=lR)ez+FW>{pu&$NT8#fFtMIU! zL4Iy8-*d&n?%M~sL6{wk*A48qQvq}}UN^9wc~C^uc-_FBoD3wd#_I<5d!*HByl!C6 zAPqZ0%)f{R1gY`5f&B?-of@wjSTE9j)p*^&Hle&;jW?9+@7PqB8m}AJR-_+Omtwme zD057Wx0S4n^l|lkc(ON<{ziw_4J=`h@#yfnf#nqDI~`s(uxf=lrNiq6R#2Glb$H#t z>J+9yht~~ksKT7p;dKMsPhrmM@VbE=pfEq^@VbGGP?$y?UN^AO4EE%b4zC+nlfwL@ z!|Mh%PGPR-@VbGGSD33hyl#LiB&^e<`#*?#6DTRF^L@Cgy1J&Os_RZoch^ic3@|hF zzyJdb!zcr?3^K~7BaDCw3Id9XibPQm;{rxN+(Fz$#klXreZhT4qb8b|sPU)9Bx;Nr z<_|9t0s=X`Tc*F5iX_gmF<-+Jmc!wuFj5kAf^++d9m;gbx*4OXiN zpJf|lVQV3sX7;dm8i||e6eWv2dO zhD=kn;|aS_Vyf6p(-V{(nwky-O#hnlPADzad@SYna435PEwnFm{s8Qrfc{ADLUs9_ zI26!mZxcWTB5uI$UhqxtO10QWm+WPRGl=%LQFeMV)xPVTY90xY*=_nX!&zg{|hLYUck8Z6(5kPo_0W9NGjVnf}AZgYMxk7t21oYSlnMjmC1Fr3pZsUU3nGD->w%{#D?WKGT$Ar3x5*E{P(s0r?&uwI1P z%%mwZ4Cg!%ika@|lMH8r2#uMEto`{SG-akuf^dNd&B1S(!G$8U25(ZhNQAcF9SRqV zFgExTg-b+e51yiMsR$jJ4(4{52%W(T?3j%rbY-T(aJk@aLtVu*S6CmRlPn#5tFTk6 z;9geGkW6R~!m+ZF)951{+@>QjvZ=X9mUeXKnYopTIr09$o3)&_VbQ zWaZvSt&S?M0FqgjGV-o_^(KhXOU&(B&UhpG7dqa(sT*qBNbShPH#13U3A)<7Jto`{ z?p_topsH1Xu{WF-r)X*p>JfxS_1q&2uZ0=f(Rrw!#%}Z;^3ymI4Mss4ht+eJ8{WuX zNSQ~2A4eJG_u?=Z3aKgzdI`A{y-`=Qpx>Y>-e_IjADH_XUDAWB*G|N4)Px@J#u8_u z6Ikjv;xHOc|MA56=py=0AdaJ}S(|p^nrI^ZClc2h@g}z0^mhLvOMVC=;O#+ZL}}tK z;+2IriKnp6hFKWPe%OnGZ5riO`2P<$NkjS>@g2x~o$1XPf$Oa9vI7W>=vLNXE=#qe z=UKD)qo8*qR=_)u4PT4SVOHgnVc;jpGqm@F(5vb!WbJxOkAV>ViS1uTXhiR`cE?H1 z$bnbTs(KW*(7WeqT;Hqb9%Xpy(JYPK-{_WAO(0)TcX|imx2+?Z?sX8BvyL-@6(5nNfjtexGN`xDbH zFnaSJ-D~6^jp9SK8tT!TFT&)|)aOa$)@Xa9Et-S*Z0k;zcm=m}Fxn;LYX|$XBrUkX zViu)^Oz=OrNAPx*G-2>lR(g{4EN%$$L2tV4DwgKpE>?T8^%g9x!IjKExh(ntbQo6hq;x=6_V$$Wlcvj)qdo;$2b7&h+s!D^?P9LV? z+}i4cauz@#57{_#yiIViw0uQL#sr_m%J(ymM>G2^L1$#V{^tL{9>|rhL9h|L$(|Wt zvKTv9!M+$MWD6I?u-&1}Jp1)RX3Cpj)?$!@4!X4qMj)TPGEoF4D5J2m$y=C=QO}rn`Mo_5CmUyZ1%UPHiB~~%$9+&gMgKuBVKN>fOR{-;)S#r+{=-fD}&n^ z?9Lj@v(85P?tmdnZ@xTqm>Kk=+kqBOg@wUo%=#b^jtVyO9A6;9^59ZteXunVwOJXw zP0JzHUJ%v>2Q$r~QqHD;!DVluq`4+&XV!;_a7*wREr*M6caUMTj}T#Vpy;+pgr|bJ zv>Yk9y=atkmas^VEiY)9rHWjp<@qJK+VDT~mRPGH2Mo`9O9dOjGB$phMN-LfqnsBQ zLdm0#QO>M6&l9=!GjCrx4eNM@dy6d&NZm1P{&5z|(xoz7CMja0QlV*~O-((|MVWa} zyaycGJR$3OdX#3V2OQep!dT9kQVUTsvWzm5rIe+3eJT5n9pR>)1@2!a&j$US4}fp+ z8I0b7;vRG@o{g57#pS4|iN}_xhCjItKJM{^UA)zFy9oDW%6vYv0kh1gUU1M7BXj-} zuo5paG8YhA)k_wQFftbs+rTYG<|1OJddU*#FD7<@p}&OKiw>*6ti6;t6CFf+8L^7) zLm`=s#9=fJwar{koHbG(Q@COU>XK$fQe;{MBO72$kzy6J^2;jqD(;Pf9d;umpDNgq zr$vfHs$djPJ4-X9aQj4tREi9#phHp)fK~N70$KOF8ro3pZQcqYASX)gWBxP`J60|b z_BB^Rumf_U)J$^?1UI+}=YX1Jo&_OeP%t6GVX_s{9O!yx#eo^5>CrF}<_fF1QDCrXXkn|4!;JEO-wo^^wr2Q^_S?XKFH zH-yp6=rJ{ku0}*ol z5zmasiBkI$?-%`p{PN+kPmqhg}cOmhy5jj!n zFydu;P909XJSwoxM-cxsdW`j1M0{dIPLw*5_~eM3D7Bb)WkgPt`U&yRq89oeMZ78^ zCrTYnye1+iN-YV2*GA+-sbh#wkI0Eq#}c0roxnM=l=#euoG7)7_^gPWD0Lk1*%3KW zYI$$qb0R*?RmT&btIwIA60eKMiBcyJuaC%yQYR9h7m*XCP9okAz0bZnnfUyOoG7(| z^YMa+oG7)D_`-;sD0K?)MG^1M)X#`7j_zeWPbI!2`Wf?IMSN*QPLx_rd|C7=ebx|f zjQUc48u8`&e0_;mh|G>V{bmvSkGZ?9{D?L0DE+*q61s^LPjd*vX}X zUQ(62jdGs#bQF1nQO+KxugH~JKD{KD8L11H^Q4`9sQEM_pC05oGA4i^=?E?lzN`n zi^z#mTZl6eIZ^5bVuddhR_4V5${NTZrFwZDF7^?Fl=bIkKG3EdBIF#F#wj`L8Ib0eVp9gtb1*1eE$0Moe(aWDrmJNGtf z8=0rOg>bMq98HHI;&2V~ZKA`VNw@(rhu?=vyDcc$9C1D1pcp2zh1$UZeMb_eQ$I}` zwS%j-QJhFcJ)IMe{=l~iorM)5N$R`V_~@i>_ZMa2#*JDplX#c(FsQ7cZP z;!;+>?K1H=i;A167_AlSpm5))fMO>fEq3RyO5^^<`q$BIg3jn@rhAcY?OL$}iXmT8 zG4Vag`B-`^X4#Xp$0~Y!&L($g1*T4I)2meM`b#8vrEJKRETQuWNxzove`SWd>Gro# zaR6JlyH<>$;vuHrLo3EoaU(0-r4{3;n94l%)QVOrW>GOkD|Uop@L9Odo84N`OpoK~ zv6oh~Krys~neKBj9*-=9@$UeP)&D<_5mJB0qe|<8>re?x zzub`odDA+`o2q{tCdYmj$FiOvue~+MYfF&VWso<0u*rPNZTmWs|2zhH?HhRFcntE| zH&Sc(qj2a0l%aFV2weN>@embnyloxiRSfdlH+=$!B*<&u%p?-zwQuK5kpy`i3G!A* zkk=V5-x~~lI3oy+5J6r?2YD5Pyc)ZTL0*kBia}nD!w^AUXXO1znMZ@?;=j*Fh|l38 zRmD(Bkk=VCkwg3&OlL<2c@=}ajt=rF26-JFm)(m%1yj#na=K~uw({#ojnK*#UQWKMZ7Z0Ag?opbvDc_gS^gO z6cFU~?}lzYoTMTBj7lBkb>_Ux{G`hcAjI7pYoLR?ia}my{%@dn6)WHz$cC>)=P)b( zPdM*ye_VvyI-LEex-Ugsf%>#%xekk{GF{*D!cyv~zsc#UF^*LnK3 zG=dk3L0;!sQ1*@q@A0A6 zOvNCt^FGgp*@{74CkgWA*(AD0a$uvPji_}*J;vj3n5t;1)se8RT^)SqI}TI3F{}>m)(mm_c4=vh`C~T4M%z zog~N`Gsx@gVVw!f*qA|HXNtx1u{~yx*GYoBF@wBLw^%x326>&mEb?5sVg`AgB*+^x z$m{Ga&6yE1$m=Y(Cc$lHfpge7(c--AGz$#!Iwx72nO$aqL0;z+>*sKM%PKI)>#VUl z(eih!0)xEHTI)90FiMX7bM#aa$t@rx8M^ygZIA$vE{Gkszm)(mm_c4=pvjSTQ4HJd*vzw+1bLka<|E92L0+d_un{xJ>r52Ei5cW|NpIwt_#6teWuWYsL0)H$c)2lyyv_j@FQmnoL0%^b z^2QAEI!TZ>W{}sJZ=H^kX2uNiItN-j6&A(}@;V2Ja8$gR=lB8o{)WcdX#4A4WhmXN1rq05dbOLGWs#d>y)K<9`s|7*Ktz^1ElXg-O%6Fw&>?f7%Wk%JJw3CWZKAGy?p?8A$ z0zmyFJOKAjG6w(~@rAf0^G+7Qj^D~dSRsNNZ=_|V2)JYXfTmN-vze3Yooas1oJeZ$ zRwp@;)ZnerIT-4YjuC)%w+O(eT*IQC>J%|h54T9}@auvKAMR4m$MDT7B zAp@bs@NO0%gfP zI~jinYBKHL;J>;Ps?5&pU_+fvyeqM-#v@&3H)2;UBkm&3D6;S}Q;9>hVIc4{;=Eef z3cN3IY^2D{&&;}PYh^NX2VtFE{2qFv*vZNiKLECh^N@AQNWH~e7o^}*!PgHuGAn+? zb@{7QpF)UW8yKvaQ(0$Q-O7rtX8UT@G4;TwG5b38B=x7?%|cX1HSn1qK;Nj2r2cH; zCbfono=e=UTIjQ$xK&kAzky@Zrpkyf_yqc~>LRAShz)DU`NIj+mw_6I)HE||#d3{?ZyAnEUGs89NP7wVJ#W*-OB=^v{5 zso#euthL4Wk+@jA7`U!D5V*cbf@lBY zRlu8y|AhV>Bee;G5?p)?($+GApgp*J2`(ox5W$s%dLV+U*ce;=jJ3ELD=G}c55(8< zWG|{g#Me8h-2n9jYkebq8r2r+Z>CR^`W^MR(r1{uj}5r}-{`JpwV6J@Aa0d`4({SW zx2bzsw|n?CFj_@uSnvSx7#X-=GY4)gMuO*A>Nj+K_;Hw%{!lyLh`RrupGc|6r^2U> zPo$J|ejT4ksXdvp?p;*MEMHEz0(CV#LjPSfgx@4`Njk`=B?G5n0__>9)2VB)>h-^Z zR~4>9;#GzhZ-5(q!wdteK|+JqfM23J%+V)S8Ezwb+1xlErh&IJuhG1xTi1a8I}g@( zp&w*+TT9_Jpn|#NGf*ETi5OyO$TFuP=Tp($h||gC(<~-A4#&OHsp4*iI|%Q7SjQUp z=OQQk%UH{pVHzEaTLL6P=b;uXZSX-0q2nwzy9Y0uk6=K^OFSsFLykoK_^B8)u4E!hmRNnJTIIgy))=fu>gyWhJ zTW!s!TSS8;d^%h6=}x9b^XY8Or&}i)y&*wDq$7zbRevK4Y5R5@9j!o5UukicJZVHScZAS+98sjY?VbvJgAaYhGeE z&}&}eOrY1i#9^hZdGAKbJUU^2{P((XcnTk>K3tMD?>O982YSuRlt!S}yu@~(*Sy4T zpx3;_nLw|3iNipzd5QCZUh@*ifnM_x*93aaOI&MYOKaYfSh8O85*mSC^AfMj=`}Cw zY?wK{=B0o&ug4b-&xVsUq@R&3t$ANyetOMIh-(k#wlkNd+JRp4{t9|G&}&{cd@VYM zS$Xf`z)zBA+WrfKe3-0x$@>rVnwQWB^qN<4Mh=`9VY22OhqItk*1Y0bDQjMFtdun` zp&RHm?|#6UK(Bd;Ri$3@et@#W;3G^KXEXidK(Be(@R~rcc`r_*F9W^ieFC@`=r!-3 zfg1z8=Eb8?ye_2Iyv(y1m}_3vtPPlJUgkeG&}&`?{_TNY^KwlysZy_bnYJU)YhL>ZcfjdmzK8D znwK}*J!@W`k3DN%x^((GX`9neI(T#XM;$C8D*>N9P<-0H0uIrmP;kabjGt!IUMDD0&bE^*Ham&Mqn6|M{=ieW3$ zHuLOR;oiUuk`*p-&kC19&kA=D3>k2F@b7-;lN!nj_W>-bN-NwZ*n3vE9G0FHF1>nI zxV&uktZ-S;&e96^A_(23749)8sb_`DiQlurrO>m&rO>m&eIDiXtZ;u1p=X85ayFG# zxJ=Wt!llr&!llr&!llr&!llr&!ewqR8XmuGSfq4FLCY*vWNdLk`-detS>XnlT%{E* zanB034+QXMc{w4JJo*?OJC(CKk!6L;ldiPF<$#n{xGYPTI=7S}HXN>S(>67IdFIK? zgW_=Dw9S*OFHeTjEIm)ur{L&urf|V4MQ>f<@@i6A;m!xP^$NEWWmh}~cUv!J=R>Z2 zg|dSRlr;Esr(^{y zIT>Zi3ic}c7d>T7#htZYoQ9V8>&0oM2zLBBVw+x81UG(*LQaH?taS5&L#%+YxQ#@} zL%`y;N`zRqsak}Z*uf2>7mHAfH;Q^gDpw9O3kdgKG*@DA*^7XxQM99ZyX5e+M2yy%oM%P;>LQPD*zPDb4+IsT!z4JsU z)|0RAZ4jZco_u}pd=Z-J$=CNT5TQ9HU*Ee>gw~jReeWU>+G4I2yo*H`8~=&IB_g!P zPf@s3gpPXh^}WkP=#0tN_cn^qRS&+tce&thql|og?+R;wj1m?-yyx)8T!)S-ZopU| z0)V4gJOj7)Rf@W$LO_foWRy`0;bL&PSA+X33Qx*)7S`foPgL4bew?L4RoA< zI1}hN0dW}UI011!&~XCd*syh+fP1+mRnE+vg~I-8oWRy`f|2kElQ@BiW(PV>KxhOy zPQW_bfsPZfeYJs(6EOR_K*tGqYf%hzoFGQp#z4mjh?@c(Cm?PPbew>=HPCSao&aru zjuVgxHa5_40yeB2S0SFJeX}rB@c_jF6r8rpAf#=cdA5!dXmt_lOl>gX3j7Zq!)y;G zG9x31i93k#8Vb&dU^1~Ad`SK7#F-$#Xa;){hrzw{=_bwx>*+I{I1a8N-iNrxuI2xJO7k`UmV{vcj2NgepesJ+g9Oo5p z#c@;d30U7T>}OyOR&&K%%PdRzU&6=sMefyL6xYHtEWUs`)D~~YvE8ueu?1I_!>5*x znHj+~d7Q&Ctig4JdRT)S*gyPasZ4{Y~_`lF`i@_C8E7a|rTm(3b(_%3cM< zk;oP2Im~A9b)>e6OVEZ?u@*<$C_9;jD)TfXl+6`Nsq^ON>}V4y%wjJ|Hxfs?*oC88 z+<>z2<@Z-)0#Xjy%)Q%HKXV^kr(&L#s!p&G^SlH(hmE!4zAX^?OU^ZME7KOu*+^0w z^ORKsOc{un=d2oNK87^o(09D-!2Vx@JvQmb z6_c04M}j>SUF=;6_EhZ2lmiB#E6j2hlIC*G81MrX9)~Vb2$95Rx^$`?(HpT@h-yf! z(#C+vOp*D#Ckt`tUve-J7UMXm95g!1y5p$`Ytf9lPno!q7lpdNp?l3N;lL$?hp;{i z@G&?34QU%Go`k!P4G<9AvD|A0nbUNRgUspX2t;-a#Cf#i>2SPG9J|dOwCVz=UjEXH0o_Y;~prchiwPV-`Rcn##5p)t?R&Ap8 zHOFjCe&qi>`4E=OYG)#yQh$k^nWh05v^Gc?KsS@f&T8F7-(yreCP3t#652r@I0h-nk zs}n^d5v#O~LACZghZ-HRO6!Q#CecX5D*b6KtcSKyqk~sz9lUx98pqy(qmVPwI(Wsr z(mHrWSR!Ze-k#))5N%7y8GnK*A!kr)_^f;_P=?N$oG}q%Le5~*jf9->cR<@n>);iW zq;>G>0q84iO`u2~tA->~q;>FW6U>#X(B#+|{ycNm!7D-|E5R!kVkd+R;u2wlxJ1|> z4zm)xdKoE6*jR@D{t-C*4?a?T6qE$7W?Cpn2d|jYNC+Fmc0$-7b`!z|afz@&943Sf z;(S8bAdVBl260V7*dVSoa+@;94up-1S+WjZ5taxW#4GbUc*Qy!W?l!cC?I&{?~DK3 z9!46{&&ZX6SAS-HI(S7`B5bf!J0Wb4df+C64K|#F4QA#4fCE2Co_PL@t6Ef<1g{z) zB!msZ5@ADfMh=`9l}YewCQgv71h2$1E5R#q%u4Wz&`k&%oco!CutBV{I(Wr*ZA*j= z`j-eBY~5%c*V3O!Up}j62ivIz$L;4_8ICSY;>WXCBg>JkP=~oX%`q({73g{Ij~XH zvv{B$y?F{uAx&`)VS|k=B!ms|DkOxBwWy_CNC+Dg+(JUwppYpfgbk(%3khL^OW=GV zA#BjnTu2BTD`06YB!mrG+6qnhb^>8z9V}xD31NfhV|yVXY|yQvkPtR#=`19KjSG>c ztB?>j=+<2rj7u;G8*J^2LPFT+gxkzs31Nftw$tpD5H>h7yUbn*VdHu@zGd}F2pfB% zeeYPk62it^unA#<(@#41b#&P_9V{X%fet6FF%>&)|75u_R+GlHe6z-t1kXXZ#HIf}ErcCb3aS=ou`=E+q5}8A=qx zR)TYaWA73@<4IOIG3qDjFiB=ih^iDV3)|6YYYsbLN28LuH;Qb_0-4X_)9 zgr31+u?q=3gI;bSp=a>2Su7;<3|6$WkkB*kgwS0`=o#mrq?v_;p23N~uy7ei{2+_M zQH6w_L1B3zp=Z30a#j`+dd3$J))o?a2Fux0Naz_%b4?+kXHdAMkkB(I++E194~~#> zHWw0l1}#q&5_$%6d(rUuZNnm^LqN}<%u+=LJ%h51$s}^Mfxy!es}XX6cdejj5F3Sr zp3w#Y^bEP2l+u8n!A|9@mOT0xeMryXN!OR>Gw2x{kiN&@z6kUTmZeK2TS`*&&@-s% z$1_i69_GPnV8`Z3){iGcX_nq9>Ww)1oGDKNNJjWUhJ>EMt4VD_&o~jduA?4z1qX4! z>(l10tisImJlq_=(H}48^dHpEFplYeVI5x1>AxDSS=zq^cv=5Pu~o_m{TE>at`qxj zDB|^-{&&NFMbXD?yHU);(=0xPoUP&u@JtoYsYPcNn}O5C4&><+O&rUL*Tdf}PJw56 zaR829u@1TU#T1U2Vg^1z@c}LciYsxfD2{+nSUeT_%Hqu^JzG2qIp>N$0OyMnfurJ! zs7Y0E7I1ZOSL72Hm!m#~;(X-LtN1SJ(7Tv{e@$^Q^nHp0aqL^X0y+09u7Q7T@n+z< z;+4Sl#aB^Q|KgQ67K`^o-%var#{tDzI1VgML!OPr_oMfkiwD4eMDY^Tu%-AO{6`l50BdV;2h@Cr;wtEOEFOa6sN%`U zr>!^|{-cY1a2!*-4|(onq#1K`<2?;@2Zt+t819wa+PRR^3_rTXqXF$(X=3=%Z90`Q zcb`l%{OC4cK$-8Hr2k$Ex$Sz$wdB&2U+6pswL~JlUFhLojzb0Req}CI7~#KZCzr>*JIIqSNKSUg>Kce#fX5t$4A@Re+wb{-YDC-g8Vz!I;QQ}6mGX}ta zjJQcnCVrf_S#=RVLENgiN16X5ahp04-R(a`JXS3seww&l9Y_2OafdpQ_*vr4EOtsP3WIsu0R(wFc#~dWYWVZkWJ*DEP`El3u_2}g$QneY$AW92nn0W zKgFDg3~F5eRP%P`#8|AqI?0K#SbvSqNwbOk(@cgbYij&|n6;Pz4csEd|5E!y=rsJV zw0{G)Nb$cG!EN9cDgHMiWD4t%ga54vVFPJL{&yne3tbp}|9cU@C%O%@(f`4Ghy@mX zW!&GJ0;`I|O<@|!xgbLI&`a4(?aWbHA5GKXLD6Hn7w25FhOxg{W(IU77o0c&m zWC|B??(HN(Sa3M8#)^rjSIFJ2F z*1fFO4F5Fi5PXNAT4>ye~t+G z0teeaSA@90n6$r6gqi|l(*Al8P%Xry{qsa9HZUgbZxErefiY?Sd=Z)&7?bud5TUui zn6!VP2(1Oir2UITXe%%#?O!ay*aBnH{v{%`7oMVUsR$hnj7j^KiO^YKOxoWlLRSM~ z(*EUwyA78yY5xjqPqY`)_FC&V>?Ah$dhx)UiNH4qw)JehQ3O}_$GC1_!|X}Wi*Rm7p|uJND$sB$VWEqa z?-T)V*=(ZJWil8R)P(Ktvw3{F5GLJxHu0{~JYgY*yGiSWg&1}bYZhWSl~}V7!)e5t zg&0cr#zvY?7s6SmA^&7HgmWWYRsZYLg*2ZogbO}Jf=YS15UzL_{z85WPa)LgxA0Wf zS+fws)tp9}g&3a3>@^E9Je_Ml%|Z;%d<}ZdLJZF))-1&ETw={a4A&ED7Gk)8S6IzL z3@>;Cdd)%%FJdFxahu35o_;UEP|X7rwfN`Qat~_ryClu03*jYH2Q`(GI?)sAulQ1{ zl<8}z8!>$=W%}A`9!9isI< z7yOmwG*>=y4a;HFxAHMU-CdPWuy|V?j44t1)J?#(!t1MiM$SMXa8}AUY@=}bDz~ut zO=u5qF&z6HWS$OK=nK=4IH=7|C}N5zJ}1deWIYVU=Ooz=^tN5HGyE}14 z@i|F$PvTJVIZ3vgIIsAeBrENY6`zx2rTsNVn$JnHvmQd3$^6MKTY-ZAKX^`(=5vzl z>fy-EW|pP=FS&>L|F`EPX+9^(UU@E4(J`2jz2;Je*xBRR>j-s^XK!E!*^19evNvsl zzNq+|Bzw!PXmO+BbCT?B_X7`Bd`^wF)CMdmnL|j9m5s zj@(!ox$HyS3VfV!fwK=k20UIyF)O!c?TXJyvX3**4#nprS-B1CRD4d7m0Pba#pfhh zx!vl*)1K>Rz6DU=_~q&Z8wEZm$<>=|tX<%9l3ahuxu(G9B)Ovb9xSy5 zJ}1cyFl8VLd`^-ZXzmKjIP@LwMSKp|=TOKkKK3K$bCO)6xeBVFCO=_+^o5X-^T{bh zGIBn-?2?R}PcFM8Bj=OLE+6FP;GBp`PyftJoM#M$TW&>8{Dh`D;1dH5oa7J*T@S zBj<0Vk0vAMZ>EnXBj<0Wk0vAMZ)g8$GIIVG`ZQpd=kH>VYcg{Fp4(BbCL`w`Al78$ zysTbGM&|uanq=hs!*|1+^hdPwM%4YkKA%eS`BXG{8GPjVR3x1*&!?h2nKH;%Z5Yqf zireE7tVo*M<5Z7itRcKzUz z{59c@ClYoegi$@J3Gm0;bIVv8UqpIPow-oN3H*HlUnorjb4u%6RxF`nz$< zTKY@bBX!=~9w%-kM&3WC?+%C3*NWS2=6V?MgYr#Hd1MOx!?ztZOc-9Se9^wgi z(eB1OXK+nZ+#V;ML|5#d!g_WvTT4Ah*IkHhU7uZL)5OgJx;tg`=&$JaUbGN#qDw8eOa|G^&aaslYKu;-An&j z9Dse)r>w(%#4{DQ$BFkR-cNCRoOrejlH&F_@f_j<6t~BT4=;|D%XksSfIoCSIesJx;u23h-LR?Q!B`h)-AC9w$DQ_zZOd=g3mxGZnYT ziI)+drMNv#d>rxFireGF%Vz&oIYPlH8q zdz|=OLL<-ZapLod4>$AN9w)wp!fRHZ+vCJnP#ACLf6Z>cn!*j~Jh#V*Z@36Twk&@# zC&;bWLs(Xp->U|@m*EW zgj5GAzPF1j)5)yNeQd9xn(1>t``J|UI0qi!e6SR^$B7@L-d0BtKSZ2X+#V<1OzfzO z>HjeOUB&Hj;zx)*#qDw8M~O2=dI$@6>~)qO#!o)R@`tmPPtk6uQN&LZTWSLFGsL#~ zC428#T@JU$iJzk$Z<|s7Jh7*^Jx;uZIHUO1Rr~_6!WRlF^WwfJYY?}`iC?~=4tl;% z8^5A2v%9iZuhQLC+#V)cNiBlr{ zy6_ll>WJ`KVIR7ci}2e5x5tSyBK)qvS2yEI5#A_pdz?5g!dnGyj}upm@OFW(Da5@* zc&G3;y7e*HlJ^SS9w)9B;r#-)$B7$6_;Z2V?8 z#3Mxbq`>WQ;#LtpD{y<9c(e$g7jC6xtO#EexIIoh(d00HRp9nGafiuy`E_A2-6o6h zP2qj^RF??f>eJ#j^sG$#p~m2Dd~ujBV6YvVYRBdzcWh2I$L6VA%k6REIp(i{hwRgz z4=b)fA?egxE_OhvDnikq6)#dD8|Vy(>gll(Z$mjYjbQ~8Lu4DBf!ekTwjon+Z88V3 zT)jK^kPE38%wp52*?6C8i0rX5gmp@%HZyVgBXK3Lg&$iJnCdGoRM4?)yXb6tpfWrz->fBIsD<_r?S_FhhO}UK%tN)J1AM8WdorViO|Yd?E;1T= z3R54Z6&dEd0KI1({&SXUFuNlN(#n0qt=7 zR>*3LdGVE=<`JyEmj3`a;&@8*GpDs2jo%VEO4Yi~C4NJ;%$SX+;0 z>ct|515p$C*_WSKd?YmtvN7x%PCfpL4sO-(F*m-1O0cEf;C8#UD&Y zeN*c@Tzt*Ta7W(plXDQg_QrB`7H&WCNGNaRKXtI z0ITs0-0rs_ZCs3ETHAT%#3m=r7ie_r9$V$UgV5T^Y)(P!*7_+sPTN-O-m#uy@5DN- zbuV^Ujfm5cxOFOLNFR~UfjoAtiJL}r`_P3v2QK7UWUZfJjJ*T;d!QeO-^KnTEBOPw z$8;17w>4=HXYptoG5&TaM)d{g0{9iupty*U0Tgm$Uw$}X;>!Bs<58GM~Nvx|&)_-klU6NR@E?GHh zr8#Xp$wQIH`H_NSM7mP4Q zZ-U3UOmkfVM%@8$88k(7Haq2597~hN{Q*-E{eKheJ88TAGDTk|>ZwVxr6_(jbS(a; z#5H4Nq7Fx2sa}TrIFi1Q z6q1^PuV-V_0H{yEYD%U{3Z+yBB&Jc@ zn@)u39h9|~n5N;!8Qb*F$BlX>Nwlbx=v=Fm-`g;~hkNAt}*5n zs5#?M`~RHm&Db&Sd0d$IQRmwecEm9Aqb{%~e2Ky1r($~9gb#3a;zwO$PnZQ?ehR(K z2~XfE#!q3eIpGsr4*4lmn-fSR<|k@1CtQeh{6z415v}8=P-V8ih6@`%y?V9RAQ?Zs zdbb|~D?hzz+8umd@YAbLdugY*-rrAHfa3V6JUTV;B&0b8pFRfBgn4NMDDa8rneE@! z;#als>D8~D+Xe7bQ`27Bh_3e!?PF00KfS+e=bQZe)bwhvK;V*}n%>52Tpn%XWyJ3i z;`1CN(pbs z7T%C8ydhh7L$>gS>~m^Sv@N_LTX;jZ@P=%zdR<$1L$>gS>^cmSZwqh8&Y-IUTX;jZ z@P=&R4cWpQvV}KfABCKAw(y4RiNKL9ydirQaJ4PGAzOGuw(y2*;SJfs8?uErWD9S| z7T%C8ydhh7L$>gSY~c;r!W*)MH)IQM$QIs^ExaLHctf`EhHT*t*}@yLg*RjmL>q_M z!W*)MH)IQM$i4(MY_WwmWD9S|7T%D(3i=)GLvS2r3vb94-jFT4Av@s>>0cpoGza~U z7_fB0LD$4{M-$I~ph0hy;ArBxvY^q#b4L@;Yel1p=lZ9I(wcN$rWx>feJRIjp?`Jg zcYy9BBysdl4gDQ*`E<&pC#9NFfbz5C4(Um$=7=(53m!R1_^F}Az&`i)N$)~+W(p2B z;S-F-0l&F=DgOE7B&BzyTI^d&cKj9?V+KCoj!aLcT6H^j{VK&Xdz#_gb22nWh5V+^ zy~H+f3$~Xdc0+QKocoD0AvsCT1H@rSPLlH=aXus`$$5x44*!TDa5fXygdY+=Ok7(* zPLlHoaj}A&BB$1QkJpTrS%FsE%>}4Z3K~9o$l1UFEM^2J+ zvIusLoFr$32yTv?Bxj`v*hZf3hdQU2{0YiR{%q6k%qjWVCY=*GN%+|&3bv6?ezu9f zl2yr{ZF)#b1}6zW+a&&=fyvJ{iGMcv*(M5ZHu>2m3Yi=^NzS*DCd?*3+eAw~M@|xc zwuwS)NkQTwt)#2XBajbfHxw)HhnRCi4 ziEZWf!9~z<#jVE3Fe#m6-ldFkW}DxEF6GvlaW8#mxzIS;BF1DwDFve{!-S zxVg6|nH>2~*b|VJFz0X*j};-GBmc=6 zCqkSXP0M%@YI5X1ITJ*v&659w-2$0G(a4kkgdGAOX0`YmT1S?ZI_Pw9VQ-;Tr*ku=pTXT;5C;WyMEv>oaH?%0U<;Z`+Z)i~%nhElEef4E@}KY2eAKiQi9l-57y71<77C35Sl;80~F24z%N^8DtjMCDEVc5wJAQTYPDZOCt7 zhIYt3Z!~tp_mH2)nUH(lXdG6|1)qClfRuSO_->Suxeui`cd~L|zivnI7%9P{( zpW!48>1Xu51DUTg{W(Psc}SNXKxl;A^TwacQtgm?-uUwwigrU*z(0@;UyIIRR+%v{ z@RQ_OWw%4<)9Wl`?fOd(fe>=f8-E$05pvHP|2WARIdBs6lGld(doJ`jR!4yyES?p3 zZOFe*94qkJkbgg+8*6 zw0lO#J#YN?_yamKL+*Lwzt6K_cE~+%{68`60;4bg(Y>D>*rCEx9A%8FH&v59<@wFj;hPZX-_}Y-aw=`!)j;{^*%dLyyHnWa%*gw(YyzMmW z_}Y+vlEsGFSxXE_}DHeon8hqm#gsx&ww>BCf*YlvzrXow*mH8)HV8Iye38f>V6qrH#}|qGfhI@VMKNr5 zmCZcs>OVt^5=+`o8yZ_{s9&*q{SRxB=YCV;CAMAXAS0A!;nFD zjxQ4V^R2g0&zU*CNaP=A@l;rtyNp>MB*IZSzDVRR5Mgqw+I|Czt9oQ6f7;r?Qa15!MO%|Fg!S-R9Mr4+GI&0TP+Y-$>KF3QY< zf}Eo&n>#$o`avjYGO-)>s|DVjIAb`w zSi7_s{QZyACd*EcGh{21*~?}d2o*rwVTd^dyJ?q$s?@A{f?SS97>sL8gV{c z*$Vx>#Mmr|EMPV3eB_@rNX@NBgKgQ1)Rw(S?GNB%*?KQhhb&;V;0Gk=B`jdIVhj93 z+05V+LL-#D3{GX8?U1Z6wVHiY8+jr}I)?47taMI`duV8^a^1KbyEI zVLl&^Qglbh&F==nitdK0=3R!R)Az8o`oy^V-$pWsJPV9zc0axrpoC(PS zu9!uPKE^_!Vh(XW{7W71JYw`QS-=$wzF|3;iX+ZuIb<$Y97#K#tBwRdme>wm=64)1 zwy18z9H>}15B{pT;*k%Tj@?}G7@_XwiYHiYJ0yF!;;B!8Yo*I8o?(|4rOPX1E!-&G zRs?r!d2GRzUoaIN)r`t({*C`KB9+$>>Jh2D zfgNOrWEWT7l*V`!L$Zr2Z<&r3H-=;vSKf92@ZfMNeeRGE3CS+5ypy;&j&f}WwoR^vR`hif*)p?I;1U^vb zJpq$1doZyZzS#i0kT?_GMExS-F#MkQXySahiuo)h#+i3Ch2=|-zshI7>W8imk6_+k z({6-(q?-Mf*bcL}5@)|BcEc?!=byxx&}H8LCJv4KQYN;{H;^HS&Af}xy5>w|Ys>aA zwrn3`%l0w0Y#(Fm?PKz!MQ4>c1g_=uFQv|#j6c~zi?(I^7+bcFu}R=_ZM}U=ovRnco5Agw|ds*vRpco2@rFN_LJHmu!E@xh6+ibhc<3=>FOqug2K{rp%xm zFUQ$|<^p6k4uj4RMTYY%gsXh{IYyqe=xn3;bNHy5+|1))3jc;q$nD1-F~TPFT5dM6 z9j-x7V~UH0x_5_SR=6%U01BRBc{bLhc)#Pp(Irs?BtEsHxh-Zm6l+#2Gnb@^ak?Lp(w; z@_J^5HOxn@=ctpWYTto!l50eM%|0m1mVKjb**DsjeWPvJH`$bF;pSM%CY8~#9i?R3<-7;@j}{Pnzc z3<&Wg$jIMFpT>~;M(1y)PgD3i>TjjbFu9K8Z|9gc%XK9G3w=%DG|1n@SIkWPV_Svyb&M1!>= zlYv6GLqonqpQckCJ`}V=gsN1|!g`kBTMYzpLF0N-{Po8$qxF~sPP*BYVQuSeH}C!p(R8$+^*<=E{k zwTb1N?JUiS<%a*X3#6mdRt(}}Rr79>-yW&;n^z3-X}`zh_i<|dSt|y2qd(Vi zq@<^BmnRyj^*@|!3|0`Z zhV+H$Lzuq%v1!u^HuYGfZA=_`VG6Oi%h(mHJsiLv8q$*3cluxK*g77(N;rIp688DA z!)6q|7$(;B@Wiwf?k7XXx~}fg_0;tom`rrprXQQmLQZ$U#AZDHW7F1VJeWAV`@cA_ z8BZm43yT{zlVe?KrZnR{IItOge(bNRRxlXRY!Sh}~hu()hu;0wV$#Ys86 zU@0K8RX?(DB#nhyIx4UA&Z!F&P)Y z7m4K`+gXghIt^EWtpC=;riDhYG**Zob z2S}Q8x3e6OSZ+)#TkEzcu{^n5n&pY*58GMRB$lre%hvXsmsl$Cg}8MLIpjD8rEWtK z%hoivB$i#av)rFp=5A+sDzT6n!E(0d_DW*eu$|?t#Byt5+1hU(B$j8lvwV|S-rX)Y z7ne=Wkngv%;@XvA8GyiPhkG~ISZoD6NSj}>> z{!5>$GY5BCxcVWQ(=6xehg?}(>pP6WM*&Rj(SM}%oyOpG&@Y5upW8-qqT=4-4j4}9 zF_?*=+Zg-`443v8DigyD+*#p|U)AKbpyK9uoZ04xH8MpfXB~eZ2@gJ#; z^#878)T-f_7z(Y{!=iPZEJL(TQ}cL5;q4#G+zG}BNdkVUm2}hpL&u6Aljz(!eN8HT z@iGnTa$1jF=fgDDmvr?%N;9;Sh7~27dHA=r7_oEj0HyACu$dcq6Q;-R9zAyR2D|9l ze;_|Sc30{#nqiE11Bq~}Yg90ajO!+Cn~4i8(hTg;U#0c9nSBsxCZp|RVB=tnGlo2t zsP;@$V~rs(uDR3UwLq)VDcGtp$Z9Du@v5uMmSf<{Pjzgz%)qS{KiT`PvyI2%jNjb zk6K~2w8P9#b-`@82ReT8i?B}|0_?VNEY>**zwStU7m{Dc&FlDgJ_hr@{^V=2S!hag zwz}bNY0g$kbG8noMsv1OnzMx~I5fi9>e-vLtQ=>ztvOqzJxn!cs|nuei*QJ3&ekq~ z&h?ZvXKP=|_fgiIt-~lki$ht$*?I!l)toJ=%YTl;zwq(a;ZR|uKfym&bGE1!dtcN> z?08>I0lS*BMYT`H(jtIN!dUt>5*b0lSfXGD31f+Z8zhV+3K>HsjHO}BiHxO<%qd|k z>6{YAlH_Elm$PWjY36eJlhngkzB0nJ2rco4&|-kpBmO}`>Y?BU38{xdCZkC`#9<~O z^-#z|K>8|4AL}+%i%=6Jq#nA}8Y&_6+<=-Szq?zZe|NX6epgf~w7YPuBp)M1K8D+L zAkwAC$8eVrD)KSP4>sBDS=+ttJhW#nD=>L(#a zO3n4`0V|dF5jp%*W?l{RAIdBqG2_M6|=b1Fe>L|mzS3Il1$MEhG$7=8~ zy!#2=hJ3!)qe)F}$ZomZ2{r z@-e(;=KvQY@-e(ErvNubm%s$0r?o-u3~8p$j9&|TmOco zH6S0u+f6KO0r?o-9#)74%wq%cF}x`j&&T$Fd<<`@xOD{NV|d+S=?rXUy_Z#mG+hDt z7~TwV>ki1r@b;GG%m~QG@RnQ8q0pIqIfuOyEza9cvoHA=-bq#!q%N~B`54|Q)|$NHi%9Whc+jqbosszx9M^bPuE>OOgu;9 z*n2j{i*sljPpV3Ta}a%)igRnL56U?W3b`C|=E!MeY5DPzj0sLYhS$&Bh=%nOK8Dxd zB#BObI?QVjYy^Db>J2bij2)1V;SDr7(k_Z&yF;6K_7gsaH^C&+E$EKBa zKg;0lE$_3$NwJ%N2V9Slm@lvua6Lw1L2NrR79l1k`j?={*xSlwqjH>Uy!f-k6q$yd zxgH~NT#Oyknd>nU3u7!xmHMsWNLy{V9wT&^(uH$TMjjHf0pt3M&gjDFVMb{$NiM{9 zE=S5p08(_!%CT8WP8P2(Ib4sCaI^jn7^fTXD(;P*5876N>-4#Zhsl4_(+Asn6Sx&-pI zGXBgYR*L-Fh-&&=*Z0BDPM_CuJLn7eX3sx^pjE&Zd;U`D>=f{|jlYcbD=y&6JO3Pt z?_8kHYvEY2?*HZYa30D`W^ID!mQG*K9c`eT) zy{15&*TRa`p?~-g+U1YPDC8FsI^ZXCcE~rMEA_dqD*06;=i|JV{V@XyxKbb(K|xjl zR|*892s;JpycWW4fjX~+uwTG8V8M98VF6bP1dW9A3)Fcngwq9FDG(e;xDZEG5;^Ga zC{wq3uz(xP{~w4$mc^9S3n0QW55N`YVtyQ!i;o!3IRsz9CB z@(wCrUBHzB!5xGL$xsRIh_xQ^3Qe>x26!r>;Pq*FtzyfjX~+ z@VEkXUJK!d0l5<+zgCF^;J>%R@*l*RXg$+28a?()50 zQYM+p_kzjs3YHb4_B`N%uQaJ7rL~anob&n@o*(gYvdn=Jmf>gNkiQFtmm3s=2&4N_B{fXQn$RPJd zB3lHh$!#2iLT|M_bbDRyC?dB>6~^U`fFax%#g?l#+DL!r>Wwx;TvuzAwqYaVJjTfUO zYD7T3t2f#(C+!Yq&Tz>oboE9X<`n1ZjW$HOS-#$Aa|hHyIM;zl^ft_aYGE#}hFDrQ zda`93Cqi4}L^uruDd$Xl=Vv$(ICZoWfuOIQ2<*86I1wHJc{}AqxSF7HA~4lTu-sPw zNsne(?cqe&1vIUk2#b+xrIi!mcSw$$2xQStgcAuWCjuvI2kk^S78e3J@H5eepw&^F z2o&g~l@o!mn^sN)!hTvg5eSDJ#fk81aOR`JToIYb!`D)LL{$`|od~;;lvYjza$0HS zL?G;>l@o!mn^sN)!hTvg5eSEALXrjEq)^C(X_5eOo-6fVYznJm>w zD<{G#q`Tt9NX%lzm!okgDse5o_|Y;`&W%9!?x39rPXI|PCjvplLuw12g(4XeI0!mu zC&KPH`-)Bkq3kG51R?AwP6UE(I>U*O&Tt}hR8EBTC?iZOCj#lyY2`#<#S7EQiEtF2 zyNlDxiNMw3-O|d5a0lSBv~nUavRp++uM(g5!cmD1R}nxoe1O! zUF}3D#sfpXtDOkUsdBXwp+9n}UF}3*&LCGi5g6XA#??*)&c`}eI}u1W%GFK;=8SW- z6QKb-4X$<~kgU7gAy04WfD<7L z_0yX=;6ykId2k}6oaqp)od|Kl*!YbBDNTMp(2OP*lxl%ywoo3A?@kw?JML&r$t2S` zza5ukjo)Qj!Yvgq36u_+TiFA?L>GE6;qo!;6xb2Zj)(SBCzFZCjyIc zTTfPY9|5}9p`E%!a^X8u681fLP=9y?L^>InB!_E z0+AD3?L;85$kk4SE1}I2S340l09o#8Cj!g4*40h~@~m^U6M@JkS341iY<0C0fyfS5 zI}wQNbhQ(K(r~mfM+u9R2EozB#96A~INF%_T?TJ&<RV8V^_~Xf0Iv0;4_KNJRaoJXJ=}ICOWM?f$WRB8JL@vzE zx&lb|B|U+-c>2Tez{hSL7A6RU`oBPQ{mdIKC30voW?2fLGNE1McP+6LAg<2BouE5XV1oL<5X3 zL0s=O=4^@00U6>CpNOI9+!{L;SW=rST$`Jx%oUarj>Oj+__XQ649n%)i9BVlFj?|{ zF!>05@d@*lxx(ax8$Z$RgKM7G9c9ITZHt0Sone7OUX++R7s0dfLh&;(OZ4A`*J>VhF7f z0r{S`vY32Hkz!w4SwyQp(6$sikhatgkZW6NH9=)dG1Us+#%Oy2ko2~)r9K9#Z7JHl#+JGo z$$EplyOAuiLEgRP2!hI%;=nhy)M2wiIDwOA$7<6k%ga5jM6IVPi`XHntSu3|p!SPkGvw zB4}(W!p4@O&XFxe1h!OoI9jX@L{gCqTWT@oDO-x5v87n5v8B#Jy0N8LaoSQ86<&fb zezeS#b0v_-mbxE^wxtLfTS_ED0tbPyr9Q)rS=mxTscb1BRJIgBV@v%Ve7?4&2q(2I zbrs4mwiM}&Eyao(TWUHUKa4GP4j)CdEwvG_v88x6xv`}v)7Vnf%-B+tZ)~Z@L2qoS z*8m$^ioC{_BE7MtPK7?kmg1`?V@rL5bYn|#HW*uqys)MCL-Hc|Vx@}qLuo}!M{9OK zmdmUbTZ-A9wxxv1)3#LK1p3y~wiFT9)3y{5-_y1fc|uRyQu#hcs;6x!=2Uswmg<3= zYERoz%o*fqTWSb$YCLUAaX!|0+Lj{OC{No`%o*otTdEE`4W728NY?0STZ+}0>}gx- zb1%b|;<#NCO-pcMw1IlwLmjf zC=bVXr?b%=8(UK{$#l;7q#+l_R*N*qxD^R2|)Ot62qwag#O&dyUN7C?+;6^#{v6{V(RUr#KPPU z%JC~h85==K)U$zXorO)Oj37;$%#;La?97xlcu1C=qi9Jb=Q46Vk4a=w${o@?X0u8e zsZaGp>RnMP^nw=Ue`V^1C>0G)-4?UlYcpi_?7>o2Wu%lXK{wb7>~$4bTP<9R;V7+m zZ-}I_>_)KkI*ojbL_Wb@{VDlm!LI~fxe4r_fU$Ci2CVY4$?_|k-M~MkXz#g9on&(~ z@J|)_3X5FHA}0y`%`D>3-oPgdL*e9y2l3X5RYMrEB0VPywPrh)o z``b&9!m(#JS0zdJEfui)LR_Djf=es+-HY(s{r=3KSM2Hs_#j$icrxrOwMRP;o`g8~&jk#J3* zq9+or3l?I?#vdj;Dp1iA36GQ2yN?iTu(DM2#1vW}$+gI0nCrF3q_9H07MX|>>b1y3 z+)%GYCgNLd^jc(^V@a+>UJ4*juSHgp9>bVM^hA+lwb5&lXM(J)daakU;dek%@>(xn zz1A~1TOMSNL~%>0C~kVyaDjT^=XQA+1nrzZ<6EM*$*SSpiYd@dUijsnM6eBwR77!G z2AEOYy29GkQQW=(r;g&rRJAOwA0QboSy*44d;Mgf0bls#-awzK74U^$?gj_R`h{Qa zMzY8Yzua4%fJk}a=e0Wu)Fz4>-zUmiIlP zLtmn}Jq00*;x-?@xkuyc4SYmZ6r`iLO~Hf?_`=T{Oin9MQQQbSfr{cr*bP(^H^P3v z7k=I_!eOAIxDn0|_`=VtC7cfU!q2NCTo{ZX{RqO5k?QSxIZNgXKW{&RR=^j2UISqh z#f>^!CW;#o7^%6Bp~cpNNGcK;sowN=DUVOP-VB0Pz!!erOqS{deBtLE_94>U;9Ayp z7AwvuZWNXK9lrR{GTS*eu5nQmx9&g!zVP!F5VQim@bmNwzjpG%&qQ&Xg6A_|qPPjA zFHzh?1z)1L5p)B-@blEF;eapvJhf`rS5e$zC?gD16gSeR1HSO{9%RJ}0~N(>Cv1=6 zfG_;KKYjwZTcD!2wZ)CUEZ_@2?{S9Ts_<15H_EI6%qVWuY!F~Zaijd2Kt*xu3;Mc% zFZ{e0>H&}RRTMY!jtcm~&-)YU8v+%@?Jelj81RLk_r`qGdvd@Re%@O%(NR+azVP$j z;cS=|@P(iEE_w9}Kav;87b{hC8)~4u_3fM`$jW9`OB6R|hcr?V#f?I&kVYzEwekIv z6Vgc4h#S&K)rcR`NJSJk@`NFcR77z*6;H(ZA&pc-abr$ZNFx+k%}m8Bx?+5q#}wN zt1~&Ik%}m82Z1b#;>K~?62*-pvn7h#b`Z8iahrjfw?uKbDvN?hVM=vqdPc;GANm3I_FE$kV`_lMI8tkX)wvEVU8S`Mtaro zB!lD1s-w8|!hnjRxbbzh3cgrk6ShJcsfgmnVw{jhDx$ctry0eK^={`-W)#Kk1t1}f zR77zjY=tyZ5yg#2Jk(L#Mk52OhWYaj(zS+3Msa%=qa@T(+?FBV3Uw4Wc9av+NJSJk zQn?|GR77#(g|u6EJIBCG>D+N4jZ{Q&TL}KfP)BiFgOa9(G*S`8jZzn#f=?eqPVdvRq6`E5k+xhN(twp zj82S$%K*1?IPFR}JZD3jm~Oy=oXy+&FS&)i9#CoeEe#Lij`4dU%8o zBQ+&PYD$dMlrmDYXr%hJA3>gB7(I{--{4UhacS_P&9-Eju|IBaqfXj@7^9ff3TxJ|xNVqECGUH%1 z;p%|PjDw5sKv9DNE;9};y%gy+0hbvEmv2IP9XgcHmnG*SqljNfcnt-&a~1<>=kSqH zmKg`XWwKY89LbQT0YkPWM^l6qFl1YD9APJ5$hPGEgx!E4+mZ(o_5+4&OCCfRZH#Is zrxVT(7_u#SC}Fhm6BIi8Mjz$)$zz7H97a`29?N_y$m<7q9$_b7$hPD{!mgFYkZs8& zd`<18ljrcUD7dIMlFn6pB4dT(>%jC2gfI9F;Z=nFpcmnb2#3MAO2C&8&bP7xBA1S# z?5gCwUr;u?FnK>g)rH9i*d$KCaB#_;y8stUcP1ZZcXpHROv=N3nRH3=F?I>V!6neT z?VPK8v~j?Oc>Fprw{uvu9%Xs;18_l1iAN?SFU3>xQaqLMQao>L2pU<`n)f$8NqHsofc$3aQj}QU!+7`J^gwnfGhpJxG2Mu4z!_U!W;{l=<{8 zVQ%ANH~%@3k}p!uj-<2~DeH}tb(GTc&*c9A7dOkAApCph87)4@p%#xt{2%2|3p!&& zi+jjl-XEy_U=FVr_E@l1h{6vFsdAP)l(UJ_%QshnWTm1!J)&HhL3x2t_ByE&gcs(p zE3C>P)Nh^=0UVD4sN+r4Q3{$T?3GZ$s(gZcNBxNO`H1u=A)P3sM^b8A(FL4Xz)h*V zRVb-#h4ciF_C8)wGS6;8qjL;ba>uc~F3w6n0bjyyVV@hZuZy#xHh{gm1P@vlXWmP| z%cfG?jl#JX)UA@bu9sc3vDFCl^hZkgw8mV zb)OPvpQwx}I>Vxzyr>&l>GGUN^UBB17{W-iInnL1KUH7`cTo+wJCIc!p55{MunH z+oJI9a}{L*_)y{x^%qVme-Ln0?kOAe8?kzJ_&KXtO^D;1Qv0J@G|? zFCur#C!qNDl!;jY%E$FoX|d*e(pNZy{F( zb^<&EtN~POH?UDa>}FmP2UQ~JILH`01i*CwhLWg)%?6@5hU7^WV^W9pr!=ca8dOq3 zsRpd!e@4&p6W$UVemX`wKfwxn_-@QfeuDGt;dJ-&lQ-BNUW$Bv+8mH$U6$hQl4mVx zzjYIaF8$VNUX1bg!9Xyo1A($mZ9^eQpxHbCj{`cm#Q#gXX@2or|KhjCL;Np(>tFoV z(di?<_^omJ2z`#f_^p*k`xn18?7?6B)*&1`_4a5yn*8Fo{>5*N=hR>P*1!0z|NDMx z_=p)1P5X!m?#V}d1k(SnI{YvAt-}_-b=cy!4wc`UAr@`r44Yhqv&K=D-Mkqt0Of7i@OqF%$wqI$cG`V ziuf{%MJ$Dg?q4fP=B#~RyZ&lDl4@RuZg!Lnk zR&Zo!O1r7-5b~WPd{b$Qm%c&C6;VUbqi8n|#~o2MM1=_20aDerl|uP0@Sf7Py|dV; zh>_e2j8+pI0>$91RDRqjty-t8uA{TqvZjO1D$S>6nqxVkUyq939Ah8!83a|t zd%8JB@97D|#e2Fr#$k91aHZN7k@N|0rP{4As+P5SJKyzK)=?-^6e94DR_JuN{Fpf( zX_auRF2MKx@@BboCmZIdNV}<^vYWfNF%5H+Xg74QDg79sTn1bOvL$pYSf#JC(c_V* zts?5ANK{-zJrap>g>ttMMSUYt4?{W@l^RdyAQ`ubwnnQ}HruQ(mUk*o=PL1hH3C;| z)Qbc^4tP)TbY3RQzamfP<&w?Sq0(e$pk!$>zIOT=`a%tOI-|S@uuAFsvk!^%njlr= zNl9uL9U^)?O+93aP8Z4!L-){HeG1s{-0Bx<0v zN<#xh!>po(ENZKt0j&Of*TqkAQ&#^BZ(M)A+2SX;F{^)uXRg2T%!PyP{+XV+`~rIB zYVdMad*)uj)smjMMtKj1*cr8`klK&x{Bo@NM!dc4{Qrq#)j#NmE?tZJqa`P-XP1A1 zD?sZL)-$)JW{*$e_LI3aHG5*Qr2N;mrpD9U{UXPz=Z*xQ>`#r$W7i96#Je1IDPQmwdC0#Io-Ugh-?(3%wq(n*d{?L zJVtPe-7H9z#|Tcb&4N^WjNlZzMUX)rBRIvj2vU<`1gF@oHXUkpDMoOL-6mBSmtq8` z*zW~t@EE}Ztru=WS%p9U^+UYD`u@8q>4fy8$QJ zK5v>tEKPj|u*(F=`PSs%xb(j>hY6CCj{-!HW92%4T_#A^RJP=_VFahxcKcfNR@)F; zs}~_pHdn`PmP2UN=IYpHL7WsfSI2Ge#KZArO$y&DF8X;)f%r zfSapxMswUGx#ni0DLl{0y$WyvSH|azVY1{uZt}4%>~7|BWqi(9ChP6M?aX=EpCYZj z^G|%I8Cq%2=VhCf)*Ol*u+n-cUeI(@=VgZkxg9w7cTBaCyrS#}NSZCn+6ybK=K?KM zE3NxOf>o$iS{EWYIxm|n`n+sLip)4Kdv6J?+{3g%D*H`$6s(8<+S6UyBn_Qt z3oG)_&+5GFLbcLbN-9(?@v^EtH*F&dcs3E3L`n7OIuj{{)|3s8(7NPIgi&tvjNOuu!eE zCVje4t+Zyv3k%gs>(>*wRu`(3)^R+Jbt_aWt$PA4D^x43aR8WA(Mheerpzk9Txm_s z1_6%F%Pv$at@j0eU7=cOeK6pWozzNe@{TH0E3K(dL!nw}{VnuqEL1D4xleR*p;~FZ z5FItOP_4A)Y?xN4R$7yHw$+6{B=0I;tW?*>P@AqyM{8bztXyWvI?OT$=Vdcnwga2< zvSm9k)>GdKE!B2lji~Lw8d2MU&3W0f9T+REFUA;E+kwq_*|HrNE3I!rj@k}v&dZkV zz*uR0CvwzwV4RoD`KY!7oAa_|J21}6W{%npjFr~+fk$lzHs@u_c3`ZuW_8qdV63!e z*G~00hH+jt$L%=VXMARym(7vcVEY3&@y>`n1H#v1zCJH|0c!qc%x8RNoR|GN^03mn zz4Hu2>+Qg~gxfnDkkaG`@R!--g6bxJSt#Gacb9BbfnzA6bC_g0$48b(!17oE%5w#sSIxjo98yf9Ky4J8QS6YA1>w#Wr&5OR; z4vh1%*->gcFjiWVN^J+mN^4$7)plTWUbbup#!Bl-2vFOBabETvC`oMx#!72W1+^U* zE3JvB?Z8-RO+;-6#(CKR`ciEN#!BnGfvD}k=Dcj#4vh1%$)mOdW2H3_wH+8Mt%<1Z zz*uQbL~RGgdD%qNcHro|>?B7Ciz*uR0G2r%&JdryX zQrP0lVe8lT2lAh`_~wD8;AF`68z`VaZSf^%k4bofMR?FHeazaJo(!yNzi;1$6f_Vl z_2r-|-wyOZ09MZjIwSEV`wAqaC7(C2it?_KO7ZZ6^{U5ny#0_(lz&)H0zsdHuyPt4 zTgZ6@%8!IK-n&b_P*|8}mi@S0)erb=WT2!%>b4bxMnFGYW0ZicB48l})M)|y!PefY z@c^z60lk(`i*-NJVo@Yyod~&;Lgr~9qQwTgY8mi9DJ>45kc%?3xHA%PkqG#h0uI&! z_+wvTR~-o;IgG0Je1QU%ihx6z+q?ihs;aS61oQ@7<;B%P#TIJ>UU2|yA8@g+?MW4< zsa(PK@AF2Ag7Jq-uf5#v0eMxQBJD&L*td($EmOHw`vboSIaOl;+yJ28PyqdUZq zJ^=g;J(9BCC)f=iyLlQ47}5cp{F9^)2l7By{!z2oq*k3Ec>rjYw){Klp4x&g6zi!Ax}++S0e7m$^8Vm zH-VfKGQgg#x)bQfikn-A?QK{G>CTa|*MdZqy^Y*SxOHj{D315jl5yk z^#gi`j2ocC*)0^d9QCsAsXYr#^AgaTh~9T5WLMpcq}d>?S_@zyfPR+(s3ygLI{}@C zqybz7xq-m50PX>RrwMitR_eQth^&6>AL*NCBzq|LMcZpOp)l1o?B~HUtk+Pxe}HPp z^WY%`NGPCiN^(htRbSY$#;W;>7M=tBaBfHnGajFH%v z*;%|;s+=#gnKN=5%lR^!Eo0S>p^Wzg$>LQ}QNAaX*63oA{wX_)S1OhBC!KQ;`JTqn zT2ao^DyRM|=Im4wIsO&jPR%z8vnF;&b{5CB%DF@5EG$LNrtB;ZMwPQk<%}I%jhwYg z;%FS$2lq*K7ALG~$_pfC%rR`rRSK4lSuLT)QQwb9NR^&I46&9}3x{c$aFtUNT3 z_KTj3HyQMc;z3OQ29JiU|GQQ!a-VqvHDR z8I+W{&pc?g&)l4OH63J8_~`XOel~pc-5^Lgf57+8gpW37P%VRE40)D=VXi}-y$((t z@{Fl!`(%4SvRO@qJR1ab58KA zz8NjH0z^`gXg7Ic`lFO5O*Vs|33;{{pb2^QG}2ATGgh1-&nPPQFZkj|%S<`%0*OMN zd6?Kb4Os@{ICL$g_5!HzChT0h^F#T&Y)sW zbOzN5@I+@&kt{lciq(nEpc)3UD10=>ZA-{Aj?9*jXU!mN33*nJ`n7~S4F+Ho@@ygU%^6gLqcf;jOmqg7bS{cvy;BZlMj_9*-`Si& zML0Txib!+@)o5hsRe6sfU27<3P(6x!wmE}p8OSVi1{FIhI)jQ-(HT^{kVa=vQPJoO zs)azJGpLrLr05JPPKD?UDk9MtR79dPs9r@m(HT@90Ey0^VmZ+nROE@ypdu2TK}94w zgNjIW1{IO$3@S>)ZgP$i7AXya-Q>hss^EBQKzx_M^%+!qW4M_!s0c@AQ1t?W-Q>K$ z5EL1DH#x_-#wFw#r=baX#ttzd&sdf!mEJkwh(ew*rG#@)Mjpn&DS%TBr(FrBhZ&{U zN%CrZ=W?WM2Oxr4&Y)CUhO(PHl-=Z^>?RLe?IwQ)G=^dHUhoNp zvYR}dhX=}NH@S`U_Sj9n6u;@)@I4WjA>!yUD{=yU8!eU^x0!GCakVK;cMy+mWbWXTv!Zz9Tu^nGkUcn%7O5tk1!t(a*;dPmcX781#8an;>4FheXcO zu4pfNdY1hwAZo|-^ek?I?|wU)5E-QJeh?d2!+&T=x60ZvW26v)4owcUc{N1!kj>X4 zMbzRtB$vHSzJXb6QT}1pf|SuJ6zM=AT~mkTvKiFr!x)D;|0dvLH)q39r$|4HQNjD` z=spl0{5o>VeBvQiZPqUVaDK)&5TM zcM_MiKDz~f3wSSTx-U$`2*AlzEc}V6@KH7kKRGJ=2`RjEB!o#bKP8kW1Fxj8-gaZw z7jSV}Wn9f;Z;9koAk%KXHIlPMmcR%A zn+Ws=a328FX))VoVx-$Nq}k0MM7m8B-JS!j(rscZ-880kQ<#stz0$2oq>cg}>GlkD zJ6!2D8yTY8QUcWNG6K}?cL3lOdV!{cp-ZXlO?|c z=7{7YtRIj5)?xja?4{!u+DicV`;13O_SN>2V6yztL@pA<364SA#V;1b4Y=Gheu*G{ z!0n{*O9cu2MzCBaa6aBLW3goXa=QnMD2#t0N0=c-V1pI^r;sps;5ZBCez82q--icg ze=UgXPbBh+Pi0`&nvcTeaEH*BN`(O7S zNOWRSr{MMyAlZUAL1zrIcsw>0RBpg^Q1P4?+cO;;*d2%~WQA5PSxF?#hghu?o9s`L z+}QUliy`X2M4}E+uZmjM83cn=Q6@w^bKF3Os3+nFIz&B@Fo+j}r$%`410ABCIq6_9 zbA~I80v)2BImISKJ&|r!QirHN3fkzquD79M7G(e2L12|2NIBK`&h+HWg2aDwnm9c< zr+{30awZbwY8Cf)OjV}Kd4P0_@gT5`K(#05T#%UH^@kui^5l?3dvX|GFyl0F6TH3% z(^q?P2x?Cb1)AXXgiY{z!X|hPYz`oPYyLRo*c?Io}6<*Z#+4_1#CPy7bhA|4jlu=lXE-LjVFh*!FY1W3r`Mz#E&3ftW;4hN-JVITJvQ{%4SxJCx_X_ zlOt5dlk*ueB2NyH$df}P^5l>w^5i^+XWht?!<@*I^B!^{PY!b;PtG^Ui99)+kC7*b zWRWL_IguxaCm%(g9Fj$z99Adtp>M>-e9u-++$G9yn;c`kUk zS|w3u6OKGNL?Ta4BQoI0;m=T{YYoMdQ-dxro}5+4k32c-sK}E;s>qYW3u)xZITtLE zC+AEcktgRX2#7p6oC=XAhe+hfArg6V8cfK!fx?_7?Q zasVQz#goH%U_3c=gd4}q5FV-bpFBAuLDN1AYU!)!*m%@&IPdxaC~YaH%P7K5x=Rl< z%UHs0x~LfNzJz@%Yu{2J4fDWj959ofW1%jdHLWm)M@e{t+5-s=*xo{vtk1$->p!^^ z{tO1~Qjn6lcqTcXoTZZ?(dL=qxIo}zH}8Yg?)$0K^-RH*uuo~h_7tMD5S$|1Z7JgOj9WWXmN)=WYk@?xt`Ltp&^ zPnV_J@nB_tW%sN$t9f>VySqsG%BCc{QR(uPOn>L%>o@Di`>4e{V1x@z+Xp4} zDyM`K1fK)EQd;ap!Cz(mnWR6-rrhK>;CZC~wd7X-N0ah7xp%uVBtKBo*K+v^-fn&b z^i|TL52yyM>L?UUx10Ki7CWg@9fb6$V<8U_ok>)5dYI^oM8%!)N232CdMb$@A-dl_ zKt;hvso!>@qTgf8eFMj6samqAjQ!Z=FQ2p zd2=#t-keOEHz(8P&1q%x=Ipj_MSVRtr#v=V6=>!3lIsWx$H8nlm4Y}{@&xSm%jq5K z0xGX8r+@5qLq1TE2Q*uAGR@YU!IGoQ)|?@ND6=(Zs36L0&B-)dbB0NdGFx*p&DNY+ z$x&u&PMsjyY|R-NYl1fV^6zel;kMk$Y|T0mBi_CF{S2Zl-AN=d@55Fx@AV{RXxmI4oF5P zS1BZ}-wsr4+r$m$f<)Q22|0jU+qQ{~WD(moaZ43J9?a&q<0MnIt>3!>_iM3j{XPW6 zw)Oi`pt5ZhtZZ8aE8AAVV%z%t`ht_T?W-sw_bGhUBSBO(wyi(#ZWct_)*r0Y~dYUq@Kkw*Cmhk!|bm`yETBZR_tx zP}#PA17T&``V*+Lwr%|;BD8Jkpz!eYBo&ElTYvgKNK;KVgP>Za;Ll{KYL$Y2*hHi& z+t#1Oiqp2Gs9e6O;z!F&IY$7|wyi&Z1rV`q{RIS-ZR;--$&k=u+xpw?jw{>Nzg;M4 z+xmA1A#GcKJ3(dJ`YPtV9L(mcnD=rpn}7GOP=>N?{T-xNwypmlE3Rx?|DiJ69F%SA z|B-Q>m2K-kwg9lQZT-hr0amuH{}g2^+tz=Ynkn1Xe}?jvZR%VX#U}f9- zFOyf0ucF?{w)Nk75b4Uc_21!aP`0iAE_tbnP-WZtr^PsKwQcL49`k@{+txoLRtS08w)M}BJ%E~P z+tyzmD?^^xwuMMGwk_e5!vQJQXamrUCKps1Gv#y@%1V59YC?B#3}sL<$#l+C(vXW| ztAz&ToQ4FkZ8>se8fn}1c7x-}O54^ivR9*G%C_~p+B}9>Y+JuXU}f9-r8bLEwyodY zW>32)hV@Q4l&Nf6zgAAh7TeaZQ>SDHHm=bA2tkx>>(}!QkFjmX?n*5W!w5kN;%55_2&qWvTgmN1W~rFf3zUV zw)Kw@MA^3fTtSp=>mMu9VB2z(NIZJh9JVcSmMUEc+m`sr2B&T7pA@?qxY)M-e1Vm1 z>o15g%sgycUSJ4{3~k$ToNK)6XZ{qKhGN_L$HmwoV%z!)V=POR`l;cFY+I(3@Rg~I zJdA^Hk&tpYAxk(t%qZ;wIOVj#cP>ZDAOLzq=5Qy5pOeMwi`cfloAnpK#>^bTojyNr z+Xl6bplRv)D-`t~A>>-qHk<`sOlTCZTfa^H zmq_|~`@PNBr$8h2dz%LG%94OK2MYf^z?G#&;WE{1 zE1No$K1eBi$HJ>Gz~<6tSx#X_O3#_3`8ta<4MH;+FR1&zqf*&hA47g$i{*WtMLfA5 z@X;;#Lx5L(Ong@sMdDNwc=yC(_KC()oT<}+cm-~a@X+iY| zbODf$!tR0;6lm94-ZST9bApH^aON($rxIP6a=xU`3LH74X9(J zJ`z)^{6^GI+T%6@U@w45dBXtXz#%k~4KT|9Cm3M40k#<6UISqLiei7ks2@lFiokpW z6dRzz07Eoj4QpgG{)wHzzZdYan^}?J((kWxNLHY><;)eS2EghIJZ!T6L9P4WqLi@|M0=><%`AdV5|eh+_rxj>LP%WZwi|>OX17 z2P*QUeyLC1=-hcCZc@M0C*mjdOMN0?GF}X!H6kECsbA_dC!HM3oZ*sFnA9)znWMZT z@jA(AId{Gu+UWac&W105{M0*=bMvbiL|f9Bb3fx9al2H2Am#MMcZ+xACno~RvGw{y zzyidnSOjwMj^y4no*=y=xxZtoG6$9ek|m<_j^tj?0}I7FqE7@&t2hBB1h)c)j<=1x-wSuMa`-j_4Bs(-|iMrZXZdr&XMa#h@1Nh}Vy+3g{hq z3}xirhp*`HLgO9r25w?OoImPn8_It?C-Vwy9=zu)&js!?H-VwrB;EtA*T^GZEW;D5=99^Kv7s?`h zcdAEs9NC(ZNv3o5Aq}}Wwpye?#<55c?+8bZOe5_bS#NMy)bx&cMfRDfW^^JTmwP6; zUPv#J56m`#ttzj0YIim@{Ta2gsWs_ zcx+T(6U0pxbp|p>5I^~K7a&6g36l-* zYXsv2$+v=Qi8a_KL9|vXS-+b~$q{U!9KjaK5o}?`5p2n^FM;N#`v}Nc%Akz)#Zmy3 z#61*G_m6mZ7d?_zp31oykN0I_PhVrd4JsH+WnxdSv0nix49gxzl6tMk=`#_{D)zI& zPbMz*v%ISfi_f9QBdzb5kcX*kT>ugN!~$QzXIWT)R{2-Rdjjd@ zu^}25V1O|iz@3I}4Sw>jcdF?d;V17#r`i}cT-1Fzs^wU(SF+dSE%^Pzm0`n$HT=74 zCNKE$K^W%$_H>*7D;9Ng2lhda>Gq z3Np$cMQK+FGA?*LL{76H4gN%ATrF^;m0U}nYY@Ov(0`LU@p$C#~nZ~&TdaoEzV}DdM23!NSt<^*L9u{Z6M=5HXJwY+i5*aVB75pC-XMYS%nrIBtnR_n2zQ;#YH6~hO z-~!xE|IaMWzMUnj#n}YS;%vfZaW-|m3yZWd?1jcc-5oE`89C(TfTiO2c?HjA^llGZHFrc7m`C7z~cds>{`1bVYL z`!K*}aW;APv^d*FhnU6Lm!RHeaW(_Rn#I|i4SQIeP4Xi7Vx@|nMQzO6r!~mRW>(AM zY-aCqarSm-87xA64KO%AjPD=^TdJ6lok=Ei{lZ5D8+UapcG}(k9x82Iu1} z?oL>o-GFLFi?eS-zFC}2c+ZQorE^gX>z#5aGg_Se1qJBE*@UCT*+llVI6DhZTv|g} zob90X_P98k9ThFkCRMaJn-|jm!s6_Ep+U4bn^PfLoJ}NJoJ}NJoSlzyqQ%+Wfb4m3 zHhH4O*+inn*+inn*+inn*+hQ4IGdw{MM{IfL?g~p1&4`7yxQP;arRW;W^p#*XmR!& zATZH*fgva|^b2f`bB)X5Y)(V7IGY_}7H6|8Rq6)A5t(RADdAj{k%w`x4RFfgv@7BC zFr)O(lKcd|b2(DJ03b!TEY9Zj#VpRg5^!Xq^?^gJqvnQOdx7ibp4sAcIMVVmcr&Q)YY_oq9 zG-g-)<#-O%yW$C&UGdb}?22do%&vHfH@o6_-8Q@8H-p#giYIJ##S=EW;t88w@lT?t zL3&p_4JNZIo)xP@hw@RoeRHP7d#a=fX7O zXmx>RKnw#9;RPw5BNaBI3WE%GE99ICA2S0 zPCt*bS9eF!Oy*nZA!UGP5q8qGrGSqh?51BU0X&DWpWeXqxrD>?cZ5$QoS#06a^@38 zU!F*05yNP<@0L8B?><#IzhS;E=S;%7oMnV{Im-$AO7=>^p_09daDIC0D2TpJ?4UpzbS$>$jZUV~}zIDvE6yJKnPI~SLkZvICDj7Eu#;$|s zhauyZ0`Q^^Tc4tg#&JlxUCB6y*>@@#BbmNK$(X^ux=&=JcT3=Z(&XJ)lyM35dr!%zqD~(u8S_}54+*>J_bB6Ik&#|X`cDaC zrO7%X|KRzxkoVPXly?lr%-77f((Bpny9hh!wmkrUN7zk2#_{t#VL$D1%>0XRi19+^ zn0+h+mFCz3k(hMt4}pdKFwAiw!g#gAmoc1U;Yl4ZQNm(;W3BRH&VrjVTT=suOGghumRt0crhje7(axNWJh~97`?h=k$pbQGIa`6XMy!GP+e@!J}2nZ z7vx<WJKqDTjyq0AydE?31{d$ZOJ6T{?czIJRJpBSXsGPHqj~ zhVg3OBP)F3OO)C_}1-Me4~JQcum0dYYEHi>*8% z-@Y-DIw3>q1SM4@DY*Pqs?nGssYy$6FuCl~e0z5!sWd}UsgfkM*04zJt|W=n9vM=5 zYN=1N$-Yb3V_-I^w)`$7{U~kuT}s+gBq>J zU_O5)4>*cH`wwJh_4$Bjll!*-mI^m6HN3*49A1vAUgYSqQWcQaK+T$uEHJ%i>E7#A z!5+3!%(0t#Kf*?iNh941fvVB61pk2eX`I)N;O>DCnR58tQ1unixmQ4!q)|B6LaoXyt$v<;$OyH^0Wn_rEJ__U2~sAcT6PunmDJu;sy{*N|ns7X`t zm2!9=s(Of5fk03Cdp=@!u-^?}C1?l>d9-wf^pVs(a)42C`B9WI%NN12D~%=?&mkYp~2G7r+3^LY)P zD4ADBnG)afRvd5ZjJ?@bFNViO8851g zT5cw_7l$0B`~!v|aR@58*0R2cx3en(6#mU<+|8TX#<6PdC|XJMV16`3$m!^Bn-KIlo5Ak2ycgyWeoOJ4|!-1kjpu z7*a5$TaBnM^6J@M#RJ$__WrFIrU?UXj5LdD+i{+Jk~A~we#o+$FGKsO3&>pCmb-)+2Z1fI1|9Cb%`i3{qTlEj!4Q0h*eHDsWJBC%{29lmG|S zL;#{A>1BY7y&Z>Q%_30GJP*tca29MmKJBf@&@Te+u5*f!47nurQNnEN> zBvp8jxKyD?=)VQN=PF#xdSB+77W`7GFtRh)rTEKywqVC2fd8#jD492b_%vShFY_M& z$1B9ItBddfzrxkL{RrS!_W+&PeNmv}2L>bW7ThQAl%;W|XV_axCdCc%A8h<-7-e?w#5Njl&KYbQAz~z(fMCEAnB#?F9ary zzY_p1dURp%uO~is%zuUg2O@13*~Kx?VR`do^or!DI@jpuAGx^e%w9!=c0?=Kd(j=DXASUvOdlUgatZ!@K_-W>IKbQIG z9x|ofGVP<(S1%?r8*x6JQTeV$=oPJryq0A!$&R77mq zgNO$cbQg;TJB`>_Zd(G?l#xnbO=l2vFN+0Eo6E6>UkA(PPEzvH6MW?qFb#y=_E%ZA5q{pvOoi z?45vRXdo8N>cm3B-WS=T!59M6;9vlv0ZBy!X?`r?qQqTB#IfY$m^p(0MO+G?wTPQU zgiH)RQ9K1+JXOTz>&e2j_a$voY~fFGcDGPx+|V;9t=i+*m3GvXY9>tTfv%8Sx-DI~ z14^i_w52QG2OiA?m+hKJtVH)qyXK#$YbAgTB%}-^nKa5!U>S{qWXo=oc-pW}H0*~H zAp1f9(kLVq5i5}LV-e{DU#N%%YskrV6@VgskCfIT{)iMjQMZ~29n?&C4OzneDFL!) zout{Lnb007_^Ul+7_@&j*IY<2q;Vm+xTk5pXLETGE(fu)@t@7*g#=NNOt~S^_j8{# zs!su_lzj{Vmi-$5t%n3@GHNd(KFAGGsMP*qBVxS~araL|JiP}IujlS>M7(W8d~HN{ zzy7fnq{(PN(c-OK(Vz&#Qi*;9Sc$PeVLt>Z_^W-E7l0e01{{YpyLm;qX}}w#0gnf< z8h@BL^J&+|O3qZDENRg6BChSeZZq>e52fkCIdUJx?&1Y1Y zdY>xwJ_5vQ;GDY0fpcMQ92`>XvrXAo5@6Yz0Z7^G8Y!DJ8MS_ewO*Aw!iadlh+8W*6?Ha zbaim<@1u-^RYvX7vB;>6+KHDjyZIB4sq0g%kPShBa)Dz5@}Y{w)y6qD-*U~|yhvD= z_A;z*xtyC*!Kxn8-*TC^61egsz2kDOZX+&lXWkY3L*nAn{+r;V7U(N6>ogYvSUhM% z9s0`EGoH3IU_bCZdF^OccTJ?)B2jHjrBQ8-sCFqtE5m7x6m>iB)}#IwHx3@@|Cf;| zV^09~|A$DCUhpAZI`IdjWDH%V+gn^=Z@W;lml7cR5CE;&$0G%QwNJ83=10mmQcSbm z+bBO@ls^o_y~OI9FUtS;ns=`I2c!C#ERvV&%LuUS4FFmXUD9NzE?1lLl6MFQT^UX^(Il>M|Y@pZxYpe0dL*z)7-`2k%pUqOi^9{DnAP; zts8DWQZkg6cAu`4Ki9BdO@QpT{)By}uv@hY>Y#kDNO@pr_mitkyY~|1Ujead_g;H! z_o41!aER)=SR~s$I9Zq789?iHCryUx((W}%^=fjFeVk#R_7nEwk%GVBZTOV2mwOS4 zR`Y%@QPvvXwjLRQyD`cLR7UN6waEB9$4p)|@BaoeHSa&q;pANk@iNia3emj(jt}<_ zMyx0r?X+JX!}_4Gt_5r5!F(EhFo*N}Md0$3MIEa_ti}DCd0e}Z7neCvAxBFgmqvxm zkwSi8A!1t3kwOYj(facCKh&ONqpaHV>mcdtxT)z*k<_oRQTOXOYdQ{`O48SHs{1SA zb1{R!$%pAHiOa+D*YWkpkDsdjMtne&da=m%keYctq6&S~dmqvb0;Bk?BEYI$!Ta-_ zk#@5|VK<*1X?Le+cM?id+TAJItpYxT!ZPc5FuQtjB&ks(Jrqe=ERuGBQ@;)oNp@2! zIcVS7SJ-K@BROaTXtWoGtr{7#Mb4ihjb@9SZ(3{A;`}ka@CdcrH>q#hqh-B+Y` zIL&Cc?@zUBEfbm!-^zhFAif+@)TkdIQmo+LLRy9DMWen6y==dmZH7^`u=c@^YL<7iIgAbftBeNf)Df9KKgw&aqR{0c^k7h{ zS}Ye)YW2)=2@iu4T3OYU5MC7#rZNaqLRcn4HkHjGTPDLbmCfO5)x5>_f7+q?J0k&4 zJ5*Yg^t8iqZ`D+ZA4Uj2jtI}sAUt0Y*1l8+iAM_|8P&)g+21tC(ZX^r8bpoUqlImo zX*6`uftIR=E56xYs zGX58P-vVD%b>)5TJ>in%h7frM2+7TZ@Ce*IMTC$9M62Op5)eTV6am2kLWqdg5sDVA zwN@SLFqSdaPp!4qGS;z<<5$_BG9Y=ev5~Zxby`pr7ReF^uo%Cl~fmg}< zTuwq^lM0(A?~xHDa#Po&d#vO>RBOr-m!W%iJqZ5)uK(JXBOg)MSWbi^=fwJg`#x_ zPL7R}bfF5(2(eJKu7DO}-9okQ3NUBu4jkf|Sa-+A`GphH6^<7zUdy?3|C;y}HlfBO zwEjDn0!6lL#>>BHttb+<;m1c5NtAfDy-Q0e%)^L){5c@dn!TKcTo( zO%^Xdo@4RXty1)Hbe&eK^y{MZ1t|4ee@oM^w5&^n_0KKqmBRWvuo}0$GDf$ZxC?P{ z+biWI&nF`etIR};D+#U8*===V2afC?u{yg&=LX1ltqaMz(z1>c)}LF}mBM^XGS=OLg z7VEYt!%fP^&Y{R#+G0pX>AnJVvYalx#RmH&bTh$YrrOpY+s?usP(jnw?^wYD6ij|H zXlWY67nJFEMrD0l`V_M97YD@ljhTfD`D;PKJH<}n4JZ610Jvg;q=tV2sTDbRr_lG$ zMjs$gY#$d&)45QObxh~#H`XbO>9fHqo2*Y#rkx+TA`H1Y*3J)Q5r%vw);IN%eP--n<)ak&OE`otx}*`K(iX8XkD`G{nH;__)|jXZJ5w-;tSaajP;=%S%> zz6o5+XLpCrlLOacKCe6UV+`lW^SVRZ8O|+kUI6+6hVdNjH`)* zm{0D|t&5q@T&8z3-78+pYX#GWP1a6f+tCf=3arw!Ji~8`q)bF$djZ+QaE{G zdeK5kPTVseZTP*!etQ`37O3W7!tW*6O8A5)8jW*5Ns9wW&U8I<_Z4W~AUiVgs4wA*VeF zKIAlbH%{egm{|lyUAb6(}&oO1S56AwL`dY(fcGMoS*oDO*r2q)I5PojU6i& zC1$pI{Mt5heU7<`{IS9|3%N}GA2bCxnYIFPn9LTc8BB6q6ugeAgWo{G1e~211#waE zXAqdQf}DPWY50IyKF&a5gQT*)VbsyFYR+c~p0igv)`+_8R^4-hSY_Y69P0VFH8>vw z$KWdve};8u;*E%VHD6~AU$m;aMAbi7RbLcUt0*d}z9_2x(yB7?Z;7hEqg7k1D&&Mh z77WuuZV^?#wyL&>s+v!!RVIEq;$BS&&75FWogu1LTU8T8)#VhG3+xj_)fW-32d8%j z>Zn0(Z*Gv=n;Xn^#+owJdn{qKP7$pSSgi@s`WUoK60Hf*ip%!>>BN~_Bj1D{`?*}Q z_4!uVH~vD9HK6~;Qb*if3oQ`Va&VL5xO;CoWZ)eTo|ixtZ5i8cNi}Z z82^VI@jPK%Xc^CQ7|%0|HQ!-@lfp>*y8*F%e*qCw+es05hYlpxCq=AePsD-b;&jcY zXxo?VY5iTIY3fMT^yN6~;ABV|O<$I42J0A?%KUPim3al@QlVdtvqFE0xQs|0IQ7J} zDC4tZpf%HPn?gP-;MELc&91XX;d%;+x5pZ_PK-MCN;PVo7_}JjY0^OJCB74J$G1&! z|C0?}V>O$R>RGF~Ml|0INi)pXh^8!V+7(0ZsQDr7EVSBCm9TRP&h1Sz6pFSdt(}FU z?Ukrqyo?j=$1k%u zcHeKsPZRMMvWlZ3Y8J8BZC1Qa#Q)QZw~6>$S;fIy^OrQf#)_AScmu97826|V@ll`7 zR_VySb{&l$ADa&WGh~bx0dM-hQZK$?v(}~zH7Un6GUW}usDf)BXyseLHA5!yH|RJ` znjZ~eckBVzaeu|U?oh#dMX(mlVFd4>U>5{u$fFN;Fl7&-$IEl{UkV=Tk+!9^{~c() zFlg`fU{6ZhcE&HvF+R|Pc&X63VvVNxcLglwvz}b0&ZIW};(*vb`p2wgAjLYoX6FJ- zGhYBgDExd*Gaoi56n-wJnJ;o66keCp%tz@7h1chJt4g_ktekEy#ys6&F2)?dI-0-Z zFZqyNjFEeOYT&ACa|Q@NkOxIE}YlJjoEpLYPV zA;2d?Uq?8%6HPkkB$6e)G!~=}_68Lqocj{$f6&KBmMbzF5zv>VJ_8~9vQ$Rw%TgJ! zFH2=4d|7ImnsV{7)P2+xye!pd3SO2fn(WI`caXm%aYsCf#+MhLekm^O1@rLM(7Pm@ zQ!tmWE&Z}Ya?Kl6@0JL^RodVsz9Nw#L>j%sJrWr)XWz4uVhd^5kq8F$BN3t* z8jb^LOa;2&`sCm6jpODc5yELc5+RJ{BM}S^^pS{9AP@aW1o_P;G?V|xg6l^j_>O=5 zNW^&v>qjC!gRp)i;tquMBN5c8ABmu4`jH6gH=oc|Zy?1-BKYW%c>`(E{e))n zv^a}n-awk%5q}2e*pEceZ^Ms7q!0@~5>XF*!5c{NkqEvRGWFYs|H;~eE zq#FfqApIweV`Sy);qZ}&J5ezEk%$5`o4kP(ABkYtyn!_7enK-jF(!?RY*_B$In-%C z60wj9f{#QnY~DbMk3=wH-av|vL}1Z?2`-Q5EYJfB*8%P=Fhae;#d?eyN#N`d7$qVBQn>Uaqx5pnt1aBba z0K=fj1aBavp9gXINCdl~ek6hoq92K1UMANZIJHRFH;|GtfqhXt4}LHdVe?7s3G5!~ zrN;@m8h`WXDGL!0Md3#xIKJpdBHlnaSWOhn{0w*pdIRZN@TkCv`zes+4Wu`L&Nq-2 zCozEEhgHmwxlf>E`UX;l^$ny9>l;WJ);EwctZyJ?Sl>X(uzdsR--X5uS#=6B8|V$B zmEh4gkp7y+eIJXeA)jPW-#|*6^$nyfpT2>V>h%qz9Jloir2L$lzJZiseFG`O`UX;l z^$nz48tNNJ3qjX6kg{NHXi%y1b$UV^$WoI{dVTmrGelNin^zMb?_7|ty|k@N)&7swl53l}q7RQw?6 zOBo(fTtfck45RK>pw0?c90&b@BNXoW7etE2W54MYJ%ZF!(aR97D%y*1dC^3KD~gVV ziprudB7AGn|A77z&%YJ(P~qNQ$R?;@bX(zH-GY6MG)iGFgQigmzsSPm6z`@L|7QZi zsp8)=e8-o-QdK;e;V<2XaCPxxwED~BnN<7~>0cqw)Z*t!|0;RX#ou57zE%dFy5fh) z^VbYF70W9kzs^RVQTzaH`A=-$i`#lVBf<4ddjWYT!2-7}XXeRcl}w0@Y;h*1&EJ+^K;Ff&dD7JItE$ zHJY_LkHbXl8?d5AELxpMi>Au;gf)3Js0MFJ*&?uX7|tJ9&JN-9rd-6-a+CV5O)Yoy zR2n_iUn6HBM@6jV5!}~`1KAG%%Gn7|-DJ}jjMp%{K zY2|;LOZi)^{O@vEjDJx1XGK1FD&)T}@{^z=w(px({`(^Ttd;+P$p4qh|5D_iTL_`A zI`Z#Y`LBw6?q}5guZjF*#KnFi|LOu}D)L2=e=CqF|2~m#w(_@#{8=iWV0~5ZrTy24 zygBGz1NmuEU)KmfmImpsb%N=#T)ZGmoAfeC^QMgGK+0LU(%|2*sb@)QO)jbniFCi3 z3y+sDx1%*>EOS7gWE7CctA3cq%oJnLtSHwa?6yo{W=dhUurQai$jzemdRw^JlI8ah zuVo4NL+)N|UTz(9)NKXrr${u%GpwU|Ae$pxQ=O(d_OYb3VnIH|_g!l(s1*zT%jQ@w zIgYv}XpSDV`6!|k$eul`ug>uLE`g_dDB40R6YhbX* zZ?f`vB7d#QcZ&SYlz(+F<)@1LA}jyuV7l{PTlv=p)17~z^5;{&@hZx{I9QqkajaBO z2NzR^xWtPhGxD=!-Nsh@?qH6~{1L_WJ#JaQE3DJOI!Rub^F2{|I^#La#KgCYs#eze zjf3e${M7+s``)#xZWL9Yr>Kl*H;Jn6iRet~+L|Fc6J5&~yj4UK*Q)3?5p6@w!T#DBr~Di&e1#LKQj{0lU&SmLu8mu^2s;-5sk zma_(bP=iZri7Cjl?iJ8pK;m>wIPN!~{1Oxha6;lw4zI6FEQP>~CqauX!Ptan98fMj zMCNg`_gSQU984g}t6%DsxYH1M+$ zy#`iOUq2c|52(D}Q5a5Evv#we?6txjB0L%E79+e@gje?wmYJ&Ye6Yt#t?*qUe6+tzYy1=&?AJM3G(2sCqCH|W_;n78=HCz$ ztp#=EHMUdc2`keiGQY7hPl!x;ROXv3$dy*+Y>}zO3e42Pl_JyX%7~FB!z)?;i>>fV z5x(0BFBajmAZ+~Yv>evLClI&O3K|<%Hgm3oj7%$ki$v4>%)w?QK1I(6CK<2stWF5l z+N@Vg*00;FYbERNK-+Yr$PE+CGThD1c?R+L^_QZ)&S8D!TODVJ4!$tels#W`B>r5@ zFA($F5ceAM7eVw1Uz_JT5xw7vKH;-@t_JHwxg7e0&n5D+jGqZ6NPYdxSR8;*S&c;aog{u02T$^6axGbQ45T~17i@2$gAI7=Lejf2j z@`1nSCH+mr#Xpy#O{6oIV8gHqxth+*X39A#P0GBk6Y_-q++|$kj2q zEn>1Q*o-LGt_YVXm4Y?xrH3@d&jh=f@S3D3Uh7v_;tBECg*Ih^Noj1Q$RJx6)lwJx z!DgCokgyHAIdDi;QZQV4jd#-bzZKJ#-!7tMn3Ig?w_1KM_rKt% z-*hnbPtm4%R{a`L|6Qwoo~WPds(big>iz~b8S_QmBiLH55Or)NQ#rnM_|sE5b)-za1|nZ zDN2cgeF!kUi~))^GCBm~ z-2&1^XgJ|+1m-EjdN4`Sok*Ha5mJu(5tKaxinKe|c&G|lt03`2{j_G$ojE67IukEX z1QgwobK*6SCk(kJcB1pV--*s;=o9r*oI~*`PFUr|y(f@IPOSDy*fA96mvEMG*d4TY zp&+|r$HXQS4o~`p;|fn3eN3!qGz3O@e&NjItmG-fG3i%%$z%)Cp`zL&Wl%uDPA4%u z<`*WD;2ji0%HSbJaL_5kXCyr@cX&+Fl1$4B(x8-S`LWn3!%0t;LuoB21;{m5a~%TF zL5z^4&=KW{CNj+p6&D3^$P_PG913e07}jJ>!3$Z(;2bR-YaJuS8Z>dtF|lEZw&K5- zJBI2<3^Ex*K^!yTNJ!ESMo}4~LPbm+Jw8@HoZ`!p%cac4gS>iS9OI_oQW@!}Xh%7; zl{m_wZM>r#sijG@#xN|#%bG+uMU`E#(?)A%uyP1y)|YJLbiUub&Hj zRY>rdfKx_f%tj1~Q61)l9;xyeu-$4&Np(*SC2`o}rk^t0aMVPJk=mRZO0&MES=MAm zwWk9{G#Bf+)`>!{F0eO9#S13XImq1LYCT2T!!~E5n`Z273U%XN5I0>*G#wn)`HllwpXXLj7=P4hP;wN zQZ3`Hm<%MPff(#(E0@_UB{db;Es^q&6@vj4a~!M8iM1p-`suh?H3@|-fq@f(rbil1 z>ReBoh+Lepbdu{Mlf&l0I1omROVoq}ddSo;isBut+k-LaY0~XOIY#SrWMYBposq2} z9N8L`2d6D*zHWQW=0f8~?A$H^hP+qLHU5)O{~4_Qlk0j}p6g8cVY6daQ%^2XPv(rq ziL%d(hW6o%W~Na|ErOli|j_MuhG)(zq9%b6|6iw$f(03SEb~ z)mH_=5cQCD{(z=e5eM~mULv+wlfkZ=NUhL4s;l&wzhDZHqM9}Z)Whm}Q<42=HKN@ZPD4Y_d9o%6C-E3^2B^Y%u z%(%OV5_i-Y?~Xdo6p0B^N1asWsMB}2ar{EML#51&*lUMVWKis2M5=Zqqy)Ikt<{3j z1s2-relo0grcm5>FN{zGZwZGlF+Y(o3(72 z>F+5!Oh|8W7N{I1Dr_E-TIu%bsvrlke}eYuzWvXwU-p*B#x=4O&~+;`l5vW9UNCf< zUGr4Oo2P{?oDSQS-dWqPce?viWKwT-?sV{mh*zTYjS<2Cs|^!Wkp;GzLj;n zJM4|QJK^Sr{gERPm#e{S!f5tmyWpG&Tk^2`m|;=R8SJn)G;=U6(lPiL1ai4s#iyjW zcOR~U*a#iOM!JL8sBmPGO-{JEDh^X<@)$e#$NVc2ojknl5Iw{n6-G#!q5;d~iY!FjRH z9X9pcm1|dfsX=B=6Xhr=^QJ~Q8a72WSP!T6V|5N^WV$oCAD1zN_II9(g3L??N4?ZB zOBLeU_>mfQkJtn*Bbjz7jIA8!G7k&f&Zw)+pfl=fGl(AJcE(|u0|UOdV@?rA=m7oE zS!G&>a(e<>UyqXl7w5R^Tuhl9dVJ*ii1A3uXIvpMmm!>P6zN16TR*4kQI%d8p(*I| zy6_C5^==W!&Vy2WZK%=d2u+&cUIIb6jcwB-moTX4IK9k)6}-93alBsdFc&^x3{Er6 z`h)`5;;{l`i^rW|%h7Jb<;+LC+hlm_039dnN8u%fz>_0gCNj1={f0fjZrA8^X~)BB z!)|G>BVcQ0dr03?iH30c;Y`*Tt~(gjvl0xj%=gf)Eo2U#?`>UW_IP<_!pO%^&KD7 z>w>*l7wlu)1-l;l$*F^0S&)g$J!C+J=DL_YkmRACvtT#Z#q0q^Dp+Q65=5!W%>jj6 z6Eg=CmF9q=DtbUM!EOqqQmfq)iHU(q_$7`R^h6@uv$=Bx7v25cSHSaz;9`8H2fH#E z9Y`;Wvsb_eYom7+)ah7UpLuIQgG+!blMya#ZWzEZABJ%FFb??4Sbp4i1lY@XYk^$l z4mYS77U6ogwl^)B=~#r;!nN;EGSY3E9XVvpgTKISsHTMm1ot*TO{-(RI7ORFz*(P8 zE_2g3EM{lm8r95QCj=QugUw3^Q#EHc+({F%8|ITjcgFX^LS`y^@B8T^wz3m<)Ri3y z_M_3l2BRdn7vxegeA<~zzg3~@8xsxTsOy`ysnyKgboT?C={-IlX9rbHE z#``}P@9S{EU2Kkn(@`fmehY`_Z^x41RdjDXUxE_7T19V1G;`nYFe8g_@1J=k2a}c^ z`JsmQayb)0gPEeT9#S2`N#@a!mrEavA|IBMOb$Id_S*FTcGQ_8vg4ufPj^;+Z%ZmC zTgR)pw~nN6mJeN3RuR@pc2Q?+rq8Rh1_Qos7=mb{UR!cE*Umsc-HiU`lGAbFHKG~L z8cIe+b59|95ze4!4%St=WNVJD)Mncepzn63CEBxa1yvh@rN;Hs$i;+=%cr<)`cGrl za8IlB)?l;;`@qqw3+~<}yqao#rmw%d^9KCSJ?Vn`JN;5tLuO&)+zX={95;tZf12h@ zL{J#syIqIs=*@uG)Eu2sP<0=Li(}3nM@GxY z1v0a~?=zbO%NW_9hod0f##zJjimGm3?Fv3vP-Qx<gcBA!1}<)BCK2zKr9CNn z2Yuf?A`3kpj8fa%qb>?o@_3gjRD?b3$k%qx0OE@I(`lVT2i;WDYAnX7lYk zHk|CNAyFBp^f|6>|I?Vf!tt5&)zPiId+>Isw*vLk*PJ1ME4R#zJp56&HgKTGX|uCl zq&psDObDHty}rLIpH!lo9;{5Tid65%{N?n}aDy-1kz#u0EMn1%nzna<+4S7wEU9mY z!D* z;{rSFW=@}Br!~GCIoJ+5jF|^*XCd_<-6b+Fh4%&olUVqe7YK%x`ljo&i-){ScINUX z`UG!k#oE%jsnt$U;UTwlRHN=D^Y~!1GbTWb-rpMR5LXzp7%7r)rhVW5+41Gl5)Il zk9xgICDTTL?`?}mi06YC_v-r4z?iT^GEv%PR2mp_EaSsDUhV-v%`0!1M1-$_+?mVzAn zcg_fuNkrKz26?$Z1jM|q(u9|L5+Lr?_pTW1s=t07@k#knR>s=_U?u9vNM@XMKykGK zC&sxmrA&*7S^#K_PxLad8c^U}PtY0B!esF zp`AJaptAk%li&(1+>I!}Q-GMaTx%O;Z`+J4O@l3Gg$GsArcw`}fDcI_7oUXWE-`TN zuYlrW;9?s0wlS~(rr-sQ%wNTyhUjxfTuDn|!+Nn}1<}2LA>LN6=WXxCc>cSrSdtz9 z9OG^ANO6*01Nlt=T=Djutl3Ke8R8`E0%Q><`KrDWB<;;EK{Bf+Bahobx*H&NXvt{U z3J_!7nM^bN?@Uc)FG&L+a{o>SnoPYAvG)u|B_Jh1*y>H06vHExy-a8qzSGwLQ9I-x z-uWm3>{DTcA%?GkYm|88Ng0o z55!TH9Sq2fu!~Q|K)YTB+r_x&BKJC(cRSMV7?lNV=sb4FG$dihE>pS2J_A} zPj>G78D$M%9H!Y}$zA_2YDCQ>j)KGT zcePmK$Z)GnJze>>I=eX8pDfkc6;6{~XMi$EYPPE#$h2J7NwB^{3UWFNf}tG+x!4=F z6$LRF_L7F%W^$+CgFWo>+nm3KVya3CAIR(gKPv!*XC2g;Vr~bz(FBS10Z`*V)fx|E zSto2n$cAm%wbZud>8!2iguyOL_n>h5QK}s860fY6p$7qj7%S`jHiFLsu=t@(Dd;zR zTJ0vLHP2<$Po+{jiMYZl@OrL@-56)-o2z=m#Z>3D$d{g*@U~$ZDeYzW9spc^KdSRS zKmyga1xXA)0)Q1n&jE^&(1IzXt*UMsR#Dr$iu!8iQPRuQwA5c$4@$aQN^6~%P5z8n z*!3dN%Mx7tDrD%QgJDZqw^!23$gcp%k%;{X^W}qf2)G||PmAmdq60>ax5_FZotK!aodVW zw>?5SFAJ1M3gSB0?NZsDMEfPUg6JVY4oX$l%h2PJu$bscz##8JFHzRZplP+mY_aD7 z7|h-V;t+tX2GIyW(%YVEe732omjsTua7?0SO?tjfcYKotd#UlqO(nUm=y`DO*#=L7RZO`>{EDWxb&%RbR35F1K`FF13d;93Kx%5EvJnF z06W`OxIV+9q{}ZRs&JARu9El`XesSw_+$XoZvpC&U>DJL0DArl7@z2(ZB@Ob>;Q~G zHpu^7_0eH%GMD4x zM*<(aNL7~f-U=qJ2;i1P?7L=sEa_#q+9VN81@vjl77*JdK`J>M2sn+-l{v%oZ0veW z%artzybN&Ax+24B0P1Qt5T_tp?a$b1C;5KBK~<4~^EXs+XZZl27}bs`z*Kj)cc}(T zdYSqNU?iF&OEQzbEy<1o@6G zIEYI#`DVZ&y0i{+IML4CCtIGCJQ64b_+H+-y$p;6z&(h}R;cId7z1W2wDPA2c4I{I zJ419zm zO0d@b3D>&Y!c79nj{^!ZUbyrIxX%pnq^@g#=vXkk84h(40L3AC1Mq>Cff;ymaN40R z{44nE8{)!C`jYGdmAk~}r5EMi-^=Zs={kt0ki)Ud<=EASgD0k{!aX4lHQ)$H8RR@j z^I)c&(tR&?S}*Hv89*W~$3}4IvVmbr01{Ctz}U@Dl}R9?9VS8Rg_1eK&`G2WowilU&?XFBL?;1^g$$A1 zv5dSkgtVAQc^CIG)L{~cG?&GZT*yR^P{poyP;-!;go>~tkV!zfuKl3hf_0OKAEm6`-1%`+S>C`t8fW@2)@opjL? z$lE4&(hD4Uys6#%RwKXj0r{xXwkjT}*7UNBcW2PD^Iru1X&L;6ru;LYhxy`~w^p5V z`THG|ew3sxA!2QzBN0^_Dp9wg_5kfLK`NxSn74#zzo9+|#Nx~5PV;X7VTPoiHV>#B z&}VzP1jI9hr-SHh;pvHPVM$~?jmO>=XCU~$CEL-OR^0$>YTYhqyaIqO!9v_`f|~jh z)XvWUNF{m$U>ueqHY^fc-d_o+lSp|xdqZA34pZ+d!rMipyj{Zkrb!@T7RHS=7iD)w zyH^7ZjxCRZ^a21Rq89r9nFJz=QAyb6)Fwxn$z{NVkio8p zqQ!KI7xLPwb}|f8t)`G<1wN!^#nI(6e>@-C;-&3is_Bt+T|o4`E#WOO12Ben;}nj- zAPb0jD<2(+n;!rOs`v<`;DdS~tzha)l4|dkC`L+;q;`aC#bHhXUaCM7K)tG@{!9 zQQv-XG-?_EUZS@EeHmUAhE5`72z&L*p#DY}I*8topdGn>hA8E@04VEah)l8oD6@65 z1EkvkFoNhwfH8uhr%eJ8jg4AI$xDTylSmmt>&S4gFmw^A>aN~Ub(gC;oaf)WQMBX{ z$+MHW&?sj&&s3JO6x|lb1pS`RtAPXYse>tY2D@log$%ZJ$Pm`mQdqT2jO`#|1w=KF zVZAVP5-CGp4Q;&PXsg_HRMH)skC(PpZ}FO{c&WmqfKbtI>1hD-n3G)-ZrwX%RaCPb zZ~|4Kyu2aBwaV%W(hh2uR^r)Xu*?B)m?hplmW_P+{XqLmB-kJ4Ey8))V?f`M;9?>p zWOtq42I)z_V2mR-#+#~p8F@|!*LMlwjd55vA*aD!K+ybDl$T@3$qLWEC{dDod1>C? zkE?toZgmw0qJ243dH)=m+jNv2FCj%e%;+UP8GuC|Q5OJb1u@&r@g>+?eCdnnMEJxi z(|7hV@H0bKwNI7fIyHb-q!*l2Ro=_UivaAWiGB)*d); zNkFtq-fI~;$nZG8S*oX8-kCIfkqc3~r4#OeK#Jw$5%FKzVqtZr|?5K_A+iyZBX%BYbs!DaURN5h^ zvJ0iC5d&)ISM_&L%?;DUvxuexjB19SGzqGmyoEyQBvRhaUWV401fmB3RMOeYkWpeM z7%G_pGQEMg4uE>0iu(dGF(rB1vubdiN9}P6g#kpVik;K}E6Wjg#2l(BbTQ>x;qYDbT4 z`$(}YHJf}?mmOAJa(`h}7s<@lVRov@xH=t9mpmiw3?sRdMUXQ1E#;D-PO_{Q68oavQgvsd0rU4QFZ{2Bm5GNq~xk{Ji~=K-h7!X9kG#Gs#0zn6hX@IE|$;R87vY0EUST ztL-*ZL23aw(rmd)04NU8trF}ay3J6D?lDxgk}|u6)Jb$Bz)X@18D2Xuk@p$^Eh4@F zfV{|gOF$-OhIAleYH;gGvvq3RnKZ&wo2N16gH2HFuAz>BZfA&)Ll1XZ4p&Rq(_Bfl z$;mMLdchTPmAMQ&**#(Gut#K0 z!|9Th)k%()-$@90ZDU7FvDA>!`j*qzonXjlYu{N6Iav=#4K+DgE`Kl7P{s~*G4G6n zQ^QnS2Tsjss$1{T@by-#Kf3C0>ZIRxI>ZE38O<`>_&8a)EGp(CXYp(&^)StGl;Ji{ zdyR%#oIB_GO>xB4QrCJntm%%3n`4-49U&xHFAGW5g|m=8h&pi0)*Ri^xnWIrM2<*F zqs0nyu~iXe=+`M8#w0PSL8b(vVt|okXo^W7YPJcQ7bSlNU}=ee1;8x#7Eq4JbrI!D za4}I4fOo=BGu36UrhW>bx%OKSe119?-{yk}okaM?r4%GweBmRYxfOL)E;|tk8>>ocmF7}VyA|)q`E5Eh#f&g60@G1ZGxM829oarAZwy6jl7qn ze!ExI%iyI!bZ1pBgD(TXNc3uu0!9X310?WJECm^6j)5LE-_3O;vRV%|v<3AV`|N=4 za{dOKZ;O4W5!H#%a-!)HJdJ2BAnxrD`;0C-&AGZ*6?;Ug>nfnzP4F(D2LQa_PW-eX z9{_sJ1fK_b(F9)t`iTj$7EES#EW8;RJRrt)5IrVAJ5Rc%Xr*)?hugUTY(3&V0C?Oz zK#!WB%XuwG~AUC4w84R9AG*f~dL~Vvj)McndspExLv!JHuL{le`(X=$w)JaW7#$K(W%u4{) zvT`!aoUQ{fOnf5%782bf!PAKz29SgJQ6YB_y(&R_-qwV!*CrjlgJ`7$Pa|3lFjdRY z)soObbd3a0Bf0@#co@1v5;}+;l;CMZ4+9JjL;oxZ9Yn84@H8TPBby~4%9Tnwji>}* zBpFJZ1fm5tL917b!2+wf4AZQ;01OiwyOz?DX9F^^F=eTmLhg3hvO>&Sx&~;630@8K zpb0(-^sEUMO~>pDz-TuEXn_f?2f9RpOK$>t&`_TPGG#nn%LtcZ0VhcTPuBuEUdwEL z1)yET)uQTjqA389iA@f+X|Dt4Jt7q@NwvP5?&IWh2vqBw5nhLCceW9|mLaPFk=_@+ z6wcXf8#f4rt%MwMD7{~o*%AjoMyv~H+KKA5d-2r85BE+UNPe%(SI2#(TbUoQB!4D3Ua;$V3;^x zSeG>e87b>yl)5G0B)$zGCmpnsk=p^NBWk1;Y8(b!AsQD?0jiT=C(*G0to2h`Wji2s zBY;xG4*_rjPGzqKWa0wRa60uG^K8FVMa~RG{|pzZPD03QJ)XSb4CPdIG$E90MruE zLx8xqO-y;zkcplQ$jtsVLuQ5rlA&!|W~3b!)i-??Zk9nniY>M1Qf%OHF?gp?V#18`C z0Yrw=R?CYZ{a#Y7PlY7A(+o*A3zAsd%!1e`iRgVPNG5(6fC_lia@x@~ff}b*P?36v zQ&mi6Q!<%&1ORPS48*o_I?z<;ZU&H(crJh=X!709jqPP*GXVTVTL2hAOSBhIc81XE zdWm-coL=yw1#nwU8` z88i9mAep#8l9v#T01U>)H~H>=jO}G)6d)H{zox1lue_JBv4A{p>xhIQFKk|;z%iZ4EkQEzWszR5=NZJOFU4UIU+94`788zaT!mnCL|z zZ_({bcLtjoR~JNzf9w^&&&jRsAg8x7lZ+xtUM7{m zlFcry90zlf?Y_%dXFJKZJslg(lFg&FUJqWLlg7>%z9ZO7!D7 zSsD$lk!{)>z!&>u_@O9B+Y#~c_tX2s`ajaPWbtxmqBw*Z#5Ngu4`D0sWO#@YVd1wH zX;?9OWS=hX_EP1`I&Oq5;>_<^Iz_(~5^A^eyQ3*gwL@%{n+u)#aGX7}YV2`PsMK~P zM+3#&LlN6JD(1di#0_h@Q&gwQJ`B{*LZ>$Ekj8?ChZ|YOqeu~~SXQN3&bDklzUL;g zMrSsWO;B6hW^u!s9w~{P?z2-v8Jmscp#4^w!{bz?L(P=56`Gk~vkfh=bxGbMG+>0< zonB%43^Q_j#o;GdtzkyCWM-j}(iFvxr-US1b|Yjr_8~`~oT5{%GtO88R5;3MnSgEzlR%_-g-)h%( zGpsYZsL;^@c;5SN0jG+!Ui)nf+iY1HW1xOwWi$Qyh$X1`*)2JuRF)y!vm8;?&eWNu zK>cPM^4d;H-h(c5+;$G-vrH@XeReh3MB`pl7QQX2+2Xj@cW07aUpCnf-j?jm8LfFO zjMEXES-jtxcX%Ahe%Yf5VV*~<%Vg{a!s#Z=%eq6x3>2=Cl-FH>#=SpNvc>MRMoP@q z?pa5qY|Y-+-Li^i^R{g2!abf^msMRh(f4eL<7-g|a}q*pj&^O-#rqj%oLU{Qx)0S| zaqUW5$l~m>eZ3^R?0a|E?D`JPW3$WlwWZ%W)YA7mvMEEhPMI%eJTkN17 z;&gjeqF3+7Ne7^}{UWZsy@4L?5Q$B}k=E0R`OC88(v&pay!n1O{92qVLogG9|CAfqrZKy=Nxy$Kj2iF*;_G8c=x~Dk#v1Z&(6S469B!q?j-_mIg z(+hXtOnjFdk9QE=CBY>`_W=4+md#_ss?L^r$Q|l%vh0sH;y#^rnHXBw&uASo*dp|o z#UGO4kh}PMtYlsj>6V7?BBD#M*kQjT!+HQ7Vcd!*8W|*$W7mE}9}`j+(UX9v3Nkzh z800lk1%qUARD2+nKeH7#-UCoGM3(`gElP$Z!q7>i458D!4lA34p@V2Tz-VA-hDjiz zqNr+0z6sz$lh^}C0d8wbB;Wo0*sZw<>|a1h05EeCb(p#PBGS zL{#h~F8F1?6H3V2Pgi%= zUm@>Niz40r;B}O>>-N(!S*kdzxNU&8RmwdH4xo{T#7n zN~rP>3(GQu!=6(H)#UbKC)p({tJ8;%TuXAkH~@fhqE{uDWg~d-@@7jNaGY@*AzDpg zf%_R}LWXP^f2dOJ8DFIJEH$*%=^yqG&vA%d81ZigKn>BuZ4BDCN;CB)0NsX|ECt?{ z+{6}4EL$+C^pbK5ARklV7O#8lo`rjercKsw;i{G6|=e`>rqb9M!;Ad(g#IU-oBOR19 zK&9fuw@T%CqsZaBZeQgNKGS7y%=eS8)5EeWcMNj#ambMmo@!_)@_RfDd??1r|`>le06W)Iz`&I2EICFA#YSbwQWY4Z7EQ_5R9rwx}3}x)t z;LI%9gQ1L_tDN~-Q~9-))^KDxtf=a6-7$&B4f_mje0nlE*!88jN>s5^fg#D(8b*NB z0OT;)cF@CVwGUK0>P(uIdnw5|x$cLoQcguV<@?}M-A3zYro;5t|4etVWoop3oDxP8 zvJ^7PFregnD}*bR#RjLVIR*?!4K+C(-FiF=cF?_uGkTCW+gd5v8h)PV+>%nll611(n0# zdl!bEOLKIDYHf`~yH(bLJ2}`JDV(9)xp5*pHO$p9+Xgk&9e*O#>g+wO)!FYbajbV3 zT*aDMB!l-fJRFXOFjwore@cx7Z@R3{#@VgaNL~D~n=7pBLti3=GPZ#ZXRLP`({aI2 z>H9JyqTHJIUX(wA!;l}yDNP5_s5v}5Swd7S!49IahN}7%N1E7nm@w5E=5)L;)#HiRx;Ki4 zdY)GRyakva9JZB%c$M(OZJm6y($^qOf3!93osG&gnep?)^FZUrKsN*Pd$Sb*GhLA% zoTWU!s7ssQ0)Ehl3KwPL-tt|lj~`WiUQ#@72^O1IjmHat&_Mgj@XBGtuPDcz8^HT3 z@Fn+vkI3lx+b^k}HI+OM^}KZfULWA|1H3W7J-~&Io+^an-jffgo-2^fGV`bH$?)TV zxR?4z;+~!@TZvR{4vfCiE6w^sGg^o{=%Qi zDgAJ9O0<|irW`B3ujSz9O0^u#sAv8b8RR7btnvIH{#t<9U-+<7dC-AHjR^98G)Qj>a7%y}1eoYjK-?Sks+NPa1N?*EJqFow z%n0=pehHxpG=6AdJup8R!S?6Z zDcBGAV>-WgF;!w=JD(lIl`k9K8|d2)OuOy_R{x-Q4*-5VEEe=rzOs846Y#s#Ap8F3 zOME^x=+3Zv@_)S^yrtNt^z| zS8l7_QxNA*>zVbXzbg=kd#~54Uecch@FlLrK4YG@z#!W{m=9n3%DUitanDBnd|fW- zQ-M=V4={q>F8;x<*&u62Du@$J1;o8^s3KEpzBlq$K|0g;%hB>8ya0uXdvA0oKVQMM z26VoeYa=jUi?#rm?`69im_IGQ&L`|w{G|=TP;P!&U#xTf>J0K!u0Pxs@X;^%>oCYu zzgM|=0sZ6vZw@f)lfP6@PL1Dh$ll5TYrHCmKN{ffK&}XJzUQeepet5CV>*A@9*WgJ zHC-|Nk*|i@D^lD z^-_*M)pMhXdM^i9?NWW2?b;FWY5L)0i~wtXjPr_cML=&4F#VIi%M9}F3UGITwH)e?X9RSW*Ci?Q;T=5GHxt(o=LLLj z`voL#BkDTtmE5W2B%S&2TBpuStgnZ$x@Nf_0oMB0@~C~=k3dPL)z zZziq__!Sof@tgn?RROS`d|d0h1bdT{L0bc?enr0RSf}m)TmrZhunVvUz%>3I$7fKV z2P{Zp-UAq_=P|qm&|HM~R|4h%P6C_^SO!qO?clita206R0&WJ}<)nKIkHL=EJ z{f#)+-&}hWxOUcb)vH+P`@wS`;6cE*9sb{A9sLd=>*! z0Mh~O0Hrf;uGtA(vlF;h*Zdjgnw`KkJArF+m46iB#{iE5z72R1Z~*WO;01v4y@v3c zfVTm0tmT!i`Sgbe;`^Y|RWJ7)R|BpETnD%Ta5LZ*z?}f)WB4w>J%IZG4*(tkXgb4> z0v-cA1$Y|p96-~#uek+q8{l@poq&4*`vDIEl#k(u0FMB^4R{i80HEntVPAAL;2OZS zfa?Hz0XG9~11R5P2tN*Z67Uq@X~6RUOp!`_X8dPJP3Fc@EG7J zfaOCwv+lHA8D<#?+`AE|i@-ga$}!Bn8i9K?0{3bJ?$rpJ0o z8wOB1_ha0P5x5s4a4$ySo=f>MG1Isw%A_CZxZ2IUo_ZhoasS0VR)6*}je9Qw_ga~J zq;c;>;2tM4Ju|L$XVQ;!oVIXJrTKAR^$g%yz;l2X0Q{7mrn|IU?0xb9g#b-=Y5ZEA z(t8lz4%h*>1aK*U-!atmfy#Bbd@K{oLf{^ez&#>?dqd5SVea`feyEr-+#?dWM)`R{@$%8@QKL{S0$YN#LH6zz;!bdL~vq zne_hRnf%;$a&OrmKhwD9Byi73;GUDfJttu!pg(z~Yrgz~0KXc*PX-iV@2KAh&~)nJ z-cschYdYUz{tf_}soWd@-#(rKXu9$%{;<&x)h^Zn_tpgNtqI&)6Dm+%)$_jvbAQb} z@sTPob#X79sgE@C>|Asmu6#`6o?7D!a}TZYOw2UytqFW=Dl`2^$JO7tpEgg?WG~0z z=1X1Xw(29JFEfAcr@5CVTn5NY*K!R+=f0YIY2{;>duoEYPW8dqulaq{u-5y#_%Y1= zH22bkbpTDDIGFoxUYm-?epfiei{Xa|Ahx*sT!xN&WF z`dlV=_gvI-@s_pQ((57Lhee2U^&!|4-Uzqj_(tc0M z$EPmhIk7}4acWHv@RRHO!j#{g@{{eAm&V^5{>f!LH&MmE z<^D}OQ~qOKvp=fGAJXi1xBJ`E@q}aOzs6%DYOST*?fb9AV^tGt%W6+qG;#JRi)W{5ODHhfGr=|RH&GEsG z4KKP%d7$9`3`#4jBb6oDUz-yvuB<@5Ve|Y|PRySZ^Z(gv*6QZbgMWWcEajh__EY$q z!ryBDj8whfn4(Rn+-g6s-5=KO4^7pQ;-3SCyk)DjWq4}gznc?l@GH}0{)&`8Aq9EA zI#uSMkp}Wtru+)DNBXO^xnul`($rRv@-I#wi;_wf>BMY*Sjyj$_D82Ls`WRg{bA{O z{-(4)JUzS8&r45k9M|aQT^I1K^h?t9HPajG>*)pgJ!mCAKh@ggUy`b>owvMly72WR z{2}Z7AI znkp~5?N$HNm!>QIcl=a^U)Vmi?$k1=3DiwRtA7kWqk?Lg&p$$sQ*L9Vy4|1nBh;`)5=>GLT1`*pdqH{>tJ@gIWe!?l%9VpcKch? zP>$fZ>*}V~)l{_9w9EvW+E6>rKPJ7j$&@~wfck1?oS$y;|NYWsmrOx7o6UMiG$)p~ z!1nUWSq=VYV(orwy52t~MV&4F-q<=gzhB6Lmd|TM)21&!y?mOV?D3!PX>YBa?f)>D zE_Et-0h;>cb$-FR*^5r{hwS#ZHM4&{(376)Uvf{A{|gv;H)wx8B<&aNp5~8f_w$#b z#{AwtOZ%_J)Ba5XF6e=!{`PgOkCD0U{xP}hT017f6DPL#Z+q$OQ|qQpt6l3Kvko14 znqRoPb9q^L?Nop0Zhv@giVbrvhLc-P^$XhPoam2QHg3XX|CnX|1;B%EBOo21`%xSa|A$Ide{3=->Q_X8+%DpautM zK;ATNJ4%r9XT5xazaWL?OvA?1M3mnjoA%e?Z^|E%yStth?Z1ewo1bp0t({sv-~ac` zx6~uoJ5bYTx5*V1C3SWF6&E)92QEen{TzjVYf!t7ey}>_m!|!xX@64MU&bzv?lrq^ zN@c~kiVFX#OV;^sUYM%&Z_Q6FKY2bXq#3nYJ`HsOUmCa0U)v1nt@ZHR73itxuZ<_r z%5wicw8FCm&HmT6rThcvOV9*Ry?I_ z0vrjITR;9-|0N6_ccA6(-`VW%g83(=SantGYF+VMNb=Y{E{EQIrbKuAT(DxxIp==-ob%RhThVjD1sl&@f6j)@)6P5h+;h4&ZN6ah+O_Ab-mn7UX^c#h z*oMvLZoF{K+7+A5Id}br&1*jh)!Q!K=ACc|7O(3*XVcn?F6jRFv~4|`8XL~NaP`_1 z>*`m`>)x=PWj$fx;!_r{Kn2s@Bd2iQ+U*<8UAtoO68vA-*l<$)jvY3W_f^juH>_TP zs?VtJ}t5=;oXTd5{SuB8Av8~>?=3KK(TeW8Gx^phv*t5!6tgYJC zvu4BQ9<1EpY3E+hy>`{Pn;H?r^%yi-&)Q93KufGcoq!6T45D?7JJuS)#tY8<1m#0f6mo>;!1QldQ?olsU=rnA%!m-|E7&y=AQAEUzBl=D7r#;~ix z5(XRihm&3|hpyZ@{-M&`as0ytM=r)cqDA~8%SohXKr>UJT6BemHVG$8w`6H!TNC7b@Oqf8Lt+054+H%A+ zW1r{|`x$c6ouXwX8PNuR@6P6xetvvj%r9E!m!+34_sf?pUmoWQ{6!As-e*5L1NxuB z(D+A~F^<4I*YAM-zN$Rytj|Gz)240xPY?qP@0cf?;l01vg!4w<*#uKVbT$bIJ9cn_ z;Dluc_voO%X45&@$QPc|&4~hGb6(pW_;?qH=sW4m{3lsYORSuY8tF zqk2^MZ(gjtybS-A&%S=b?0Nph&6BaQmJT{s&M~jU(DV`6I=!!9|4@43`^q5uymADl z!H-zy=8nwUgzfkd>fM;d(6;C}m|gpucsZl?op{3;GT6q21li`fQD+{OnbYmc-RH80 zXCtp#%k5&H6f<%6A)kXCY8GxYfb`)u14tioF#BiHjpA^VnHSk)BGz}431Vacf$JUJ zJ+?q=doJ7prG1!!ohb4*D=J}WUVY()jXl#gY;M7k4t|5;yxGmaJQk~{D=o+PhAoEA z{h%))?s$Cec>JjiJZ1tvZ;JDO>&N`OnAxOGoiMv>a%E*hd0qX~sZ|ZNWu=u3ds@%a z<8Y+c5`&N=52farv}AFEvR2v ztH$siKL3VTtbTk&Ee^CR+i}1-zka>>)#2FO-kI8l(x9elGn3%}F$eoDcSc1picqtM8vMz9& zGXD5egu_2ocHhSul7#z`Om*VqoJaKY&nPdgt!*fucKwB4z4fedvzoYXjU{-+B2Hs` zsnzDhf(hd$pfIbe8s^XPC#M!HHw~9goHyTGb?8aN=Z`(F7H9O_<;D`b6Bpt@_N--o zXPTls%1_sxu^ijdEzPK;ulieXiha*~zrhq4*5GnMUOHX`-`kC`15Y`8bk?(fnlsY- znwk$~?mq(6((is%ro3%3V#}%yWIFzwnSTgFX8` z)J$i6w18};#Xc#AwNhS%`L7>62i*XNQ()#48P0F8jB^xkuJ*6R#quF3AD3c=q-tBL zN+yi!z^Fd|`m-kE8eltu6%7^jxFVHmYnfd>tsFyfSxfEsswvgA<+%E~K(35+WJ zS7Ncc(u%5*MJ4lbSsmgRr54tdfZBMTv5_|*`(O2A!*P;aZfaw`%vWhziULnc`KoD_u}KAHN0sGk-zL@;LA9Ks)mWX#XZ$dM~M}C|y*tfUQ}vpniN=ML8NIFC_~O z{#~(rPEAE^BT8FaQID&eXg5FwifLU0&349us*)>e%gbwLFPJ?$Xno#W?f(eNk@1z| zD``~+_Hqp+b@e6X_|;d`PHP(1SYA`nFdoG~QD&7@)Kwy{y5;kww!S8(DkmJBg^peZ zTQR`Z!G32UXEnc}r~l1Ye|xAu#HFyqJn76nVPN{}Gqu>QOE9$a*#>`BY>ckM`HX}A zgcs8|pLO6BG>!9_2mfEg_(sNm9me?#gnuV)6stNGgOY4QPLwYD-?)hV5jlQ20xP6H z!coSb^un?4sl^Aletd5WMzh$AEC@{pZW3f>>4@#%SeZ{c~EPX4=CpG8|WPF&< ze;f4Dil^(&IcKwS4Z+|C@Mh_<4GpFrNVQ z9|fgWc_iQeiyMcPMF`K}w&4Ypi*OIK9?xtRH#vVFl&Io=?L+xwPA1RweJEe-L;34Il)nRI_UzeG z2a|9h8~6V2(ZTXyxA+~s56`*p@eg+&p0ferT@5@R`T&S3|Exw*%sGPd<5BlVo+BF7r=sx3RKi6UMc+_@}4*`u2sL!m}>X zus|+$_^0!JzNB3LDC9}}(Dro+KfNwqXiiaNJiR41mYUb%L#nP4DsdMw zmHFqVBIEbBlCiP&Qi#a^d?+q>PYAN^LDqF=olsgaRj=v@&y7VWcJy-2b5O)5LI`!O z^=C7)1T0?&`PNexwASNRcGBbuOzsV0mC^E{Ua3=oUVJAkQ(><7pmT(wkG=>W@s}N?m0=t}89vFn7bLyfOc; zcQ!Ao^ILbH>VF=75u8Tyaex0GhsJQ{E}nNOM;BVyy5LOsskSTM1K__Ak4;4PuCJ`0 zPS1$pVHrI3U>=>IQ^XR>63fd@u(l@>r?ynlEICqM4pAZ>|EyG5lesE|M6w**uAA?7p6P5zt4$PVR^Txah~7Y?9WS8b#$KEv7n`;wWamc zQx`3odN?Z{9uURf}acEaSb|oi! z>@26dnad>^aB$%cS6iyh#Tyw1&D<(uVtp&u3YVT&T7`M86^~^uz!Qk=8(T3M;n75Y z>@p7QXYr9OJYzU#oesUC_^nvhfhL V3|2H@tz`nHL!O>KU%nfF_myCve`)Q2a# z+Ef0N_D=sn%zVLvSdzn!<5_c%n;Ya_hiQ%Vm3XqQ4Yx8>l=^GiBO@pFw4=vikIlzJ z`CJ+Pru>rj_plQ5tD614*_rkm+ZUd?F<1qj)^`;sh4U*ox;ks>_;;Ilp>?|E$;UKd`gCwxw+j zAD8Vgx9L>yiNIBwq@^1iX=Nu>~LA_uB44uyUPCYpOA(?{!-e!w4rVKD}+CfeEKhxKtl^9 zKmy?r`e=X_D1A+7O6e=*UkQ&CcrVcR{hpb*ckb0XgnxPMudJ=zd*;uXGiT16Idf+2 zOuCT7NPl{t``fSX3yq==HOC-;ono+BWr>lONTd^+x-M|n?{kZNl*9Dcz}*AxL_Fk# zxMKxO-qUIu5x=o*| zWA~yL?Q%bSOD80C<)Q!Kp82G^b6<*L^0EgGx8t9?Ha?8FKfGn1VG&Oja=!;}k>2cu zrqNcJX%uZ4W3^RCJR_L&Y~4BF&K=@gzN=w|*V#X2_X!)W7eDgUOFi8G6nxbG(Uwgb zZiW3>zgYPne4)qoBb%KlGTd8lBVx@YyHG7uJ-kf`hXO!7H0u4U5bY&fi?D1PtmvW# zG}s4=Y}z}5c>nE1rfu-{q6AyJUzKBnP70oz<-R!ERNJ)hwl9)yny|$w{*I9#yWRy-8OJpVyC-zpL=;6TL!0ZxU>Ul zpQv}5L6RqOx&K}8d{OYc{n)*x44%^E{*A=_t;GG_u?Iqm6Q^G+bKNH-#qXZhdEv&F z1N>{8ez338Oh0+Dm;0Qgz^uak0j5EBfxhqE68C>!7wg2Pd(4kP+4Jx}+rHl~H<1T_ z+QJ%s{CN2Ai`!hrz3w#V-9)E5)p-TCUT$;8I^9Iy&VD!5>2`N^?Z->v8<_*RV?RJtA?xBXNHh$@fu-`>zuB4?#X2Qs#cnjkULp z^&8gmz%ut)H&_ke$z?7M&e*7YCBGrQ=UZNC1^W|q{QoDa>ObL-@b7FsMc?hua5DAd zIh&zMquTXH+Yl4zVx9>|T@05`=i!Z8Jy@hWl@SG%)k~vs`_9WYZCc-c*+pF&an8(~ zJJ;E?(sJZ}Ef%AR&PiOG!OvawYdY?n^Tz+-ZyLKII)9ac!f4EM*=s3Kt zmtXRPlCqqkA)u+bg+JT)l^}v1er@O1<@~ymUoU6uPCgCrYmi^p)o^@Fsim{&Osnni znnX8V-|ueUHtc@FiPO!PI!cFSOkQAD%K#*J1;4~!DknOr>Tm|2s)O@)POIUtowl6h z?Q3=~W(Mro;EyXS0m~cVVc3}@?<^uahv2#V>cnwEdl;?>;bTL-vZiM;$5~8rPG9pc z$_xH6IV3>6X5Ym$vSGiMX?E}n-sz@gSMjTlU;X^r#jhdaI$Uc$T5!+nTc6;1M-vWd zf`=Ms(sZWa<3>nqj|3N9>s~C*V^z&Kw|A52iBkSZvsvlcg>j|H zx%KCk+0Q-Q|K2^_@{-AaWYg>vZ^;C<8P7>}KOBqo@Lh+$cW_4F@59Gh$BA2st|1KA zEoWc)iJ4tvhd;jsZx+aTcrpYv8n)L`DXwj1qO~+E)^6YzhqtvnDd#5%!zBKeKD?T^ z&wU=hXW;BdoCdl1PrENlUgqxabo(E2_u}nByc4sDhb-a{w5GMzDD(BEm;^&n;p8!B ztoeQJC4D%_u&d{7Gutn_bk_jamS4AF+fH)^Ajpl@kh*Z~zUG1S&Vik~aF|}xIjRI6 zEbV^Kjje?`G*f#XGVMMC&-bRb4Mw-}z-jkiVe*AH3*3$EQd>7(+~3vK)irGLi^6;# z&Uy@~)ZbqgYfEkHl0;|AYT<`oY90DhpDX?+o-Y6Y_JlLz2h``q@P%~cI}CdZmpBI@ z%z<+dp2D!Nv4N{Fn4bnGpZUvkYW}L{zVthq1J>}|$dxF;mMig8lU-KlhphVfG{}an zAF6_-xBm}Jj|UEOt1333)H@I3AT&%(_u=Oi1AMoyUB0nEmu_?Kd3F3cyzdcr-wai~ zcGf+6)}5I(J9&8Uy!+KA*@Cn~cG2|4-N&&RYwJGu?NFef#d^_KZ|;=MN3>|6*0?#Q z-~ClgU!S{~cK32IZ$-~HH(xx^e;vY_aMmM!+-4e)ZnJytYX_Kh8P2Z6kIsQP+NHH? z0n=7ihBA!)c%NH(?LY!sxmL}#4`8unHk}x7zt-Fbr1zbuVG8%H6>;}hPx3TS#-BBt zzVHoL1r@xcM^nNbPR%?7t@)C6Iac*U*c!6BGu=-@nr_CIPu*If(w!HQ-E(T1)_Fy% z-~_EWXZCpvaKFZ7EewCyd(ww#)(yuKAmaL2ciZehDzt0lJ6Om%Bc{%++=iF<+T}I( zcxprchPH${P|P?D-q>OtT_g?spdG@~|J{$ZV8h%+56k(bdGYB7L&4u%8F+jJ^QTtE+R}-B@p-=v zow&*Q?U#B~{7*cH;kARGznt-7yqw|bT_QijxrILpg+@XSvSuX2bEA<^f?wQ7_#^F# z2__x9jKuvm4xL{aQ|DjEH+8Vm{2Se0=qt3154oRnYD2r$xliA62s#dmejalGs`i-s z+%0|AZ)w}OKGD{m=((i5-)vYEo$$xlvXbg>SMTfCu`Lxcs_(<0Ej%xpWxa#mTCU}H zemIeu+vKjhR~^TlluZb9hHiHM%IVy;*?kB{4sY+gEWypL7~15!jU(?39AMOb=2&wZ zPrEMOi(|)S)Oh#VR7UcyboAu@pMn|P@Fk$1zbW=}W%T1HBc9&$Gt@-?j~1YKC`SWR zy+Kgu_zvzK;4MM!TRJeQ#{~ofZS9*jbX~NeOWxUntvn29y;{P+OAD*|F73v`6pm@q z%kI2d$o=DsBAL}|4Smg5Z|LgLnXy{3YTu>ZyCk!|(}DL9xwmfeGhe(u(X*k;%G@of zUFCe>twrt!kQy88;MX`UzWsvsE-a+EUxbza0CrISj*C-4IyYSggZmpdcW%7sf}QO+ z(4n2qo*y`!8@cUk=jIExZQm&7;s>y&;3F^+KY+at_}#d%z5BB6#76fsw}hR$_ccXa z6WE1#UOP5kbtGU)tF7mK&G!uqgx9nlZi=1XzCMxOWqcx{tUtz3eeTu1=KI2tUqGbV zbry1kd<$oZsC9xv&2I={yUUHmaa{B+&ROK5i(Po%LXJi`JQgw}{|N3GgCbJsy~|!q zZGNTYMWtHxVp4tU%omgD9e6nd^N%mQES}zzcHcJ38B!DEF+Cm{<35dK7+61mWS6Dg zkIZt6l4QaXFwFdhay^G!t+B)Iuf1#^v48Va*x!5>_~t&lb{~=d#@vfT{-Lv9O#S}P z*|Ce<`_Jz~`Jmu?^ZPp1?Fu#fgXhFHxnDo8FMZh^?t=w2_C^KZ(@gU?(g4L%g?;Wj z&pVWMAIC#$-zMO5-+o>^?S8VbFI4&0tckh5d0yQ8mjWiD=V2!JEKa&v-N$7UPG#@g zg%xS{m(J@Pa9?vnr~CZ5`vy??TW|390Er;~$(mRvMDNmF9ehPeZ4Pwf&Aq#>=f=!- zoV9`p{-fvaOS`w>YLLCSMWP)qsHP9Qzr(O+ZrC@_?%u?gDBz96&w%^-a}Nn4Zom%3 zcVMpjv=iRi^U%35_iNbQ`Tre_yZ>_ysD9(orBwgj8c_WZB0jxFsQ!baVXEK!3o+b7 zA@@;qCOiCYGw?U=lMaq(Ti<^5z(p5y?Q-7)ZL_9_r%c=K|_=YvJ-;~0!tO#h-i7HfxN#DDuv`uV%sv6p{i2abhk?QHMg zhIh;RyYMfR^H*O)ny;M8H{F1KU?;j+*N&c4|4xD%+IDoSM?mILhvIB1#D%`wFWu<= z)~m4;doGqm*66=W_L;U2lAmdBP>{vy=rkyrb;c-f)*mB74QV&wI%V+Y}W z2+Km$HlNhzSI);9cTn17xOi*rW%^z3b~pBIKrhP0`#0gAX-(d#=)QYx4Cka-`8M+z zcA)&M?0ok?$GY@>?ug%n?dm`>7MGAo6W)e7vpk0s-k)C_y9g&KU@M29rh*mm5Z|p_ z$+mJ|^VL0Dw{>jY*1nM^gN6?+_>1#n<{gAd!65Wldk@Cid)wEi-JU)n3h$~shPAxM za5>XstFfExwD<*=b#!0Yvrf>v?<>dC@OPfosbf0)nD3M@{3GXmeq3T3_D7H?_w$Gg zRq8ihMlQ$WNzv2#b%a5Z$1OT)q!Cu~wdSVCR~POJbGMS*9qyyA#u0ZZNXZR{_anG{ ze~J#<&%*Z!L;P5L=SEoNJ{fPiF^&dU}yVs(O$Bp$yeY(^I0`{Z(< zU6MA69i~Dg-+j%RLw=;Uv?-JuEk#M{Ap=4QVV98gnA9DwJ1sVpHgctcWuVx4} zcjLwLA4<)A*n#F=%Zk{0qrcLEtO5TbHDI2QIQ-cvq)x-MF>N5_e@Q|m?`&i;@&iN( zpEjezjA4Tv%D3*9ND4IT>VeuxC(ixeD8kn z8Y&%Eyq?(oUfkPDe)wvzRQ>@%m<)PJe7L1aSC_Qv9jYIC?G_5AR&b zU`k9ny`Zffoy+rKNdzrUZRV~E3VJ-uPdffSP75KfAd zSsEeT)t_KuOggl2z)Q2;+g8yb1|plT<`=g$G|5yw@+Af!8P+5d^?tlRfa5iciNJfe z-S@0w+p4LsSZm^_5TkMfGm04xLzB;9dkH#8DPTX3hCj#0DGhe#8I9(qe{hDGy?tvH zhH&Q(e?E}^e3$LN_g%JfMEcKg8287@pVdnEDGuLy!K2@OqGXepzpIRcxb*K|J`0Bd zrv1&Lw^EP1?Hn8q1aI4!uf=Vbqd0ASL#@9lab8RMvZh{Zzw6Vh;m1Gk5N_MkFa00`Zfk;zjcPW~DUptxnb&q^<+*dzU6g?~Jp0q=prCAYdkhQm5TgrGYx({0-^ z(A9J4w%+Ifaoh4(C#Fvq^ibi_r_xJ3tGynd$K0(cdu>nC$++>j^he9;zBO)5nr8Yb^>DR1ih&EluFTpEBk4^H;?5;3zzl2pt zCX0%*dPOPL+(RFe|8_!xfd^nZv=l;ya&R1^PX1dH)cW+cTZ%V! zB&i8RXLB2SFmqTB>=)8xgS6FE`F+iU32BFI1MbCf_gdUAzp)Re$82NAlM;V-d1vbI z{;rPAI6}K}sKXq6EnMxo$wfA zBWOoAHiNp?^|}3V7QU$;L&Y|{>bnjD&q!aow||T`+n?>9h#;8%@+>w`qH8;ZWoIIc z{~a$7cmL^1?gmn6EH_}4bzL8}aiJv#u<9DxA@DuH(moKz{ntAG&h!sOaL?H!F^b?V zt+DgLO|{e|njp}Y)PJh$^}973V-xPF@BguLe(uN4`E0AwFG5*_+CYtojujmudZXXP zdh>Z<;@^NR4yUU2kA&#YaX=)rgRPIA#znE}6v<~G8%@pw{Qenix>HBrG(_S^a*-fEETlz2;FrnBnAD8I{_)(yhw`ZR9L#BzxI$xi~$ zxGe^g?cQzOJ4`KeOnYlg-2gFsjh8Rf=JORVpPS3$diEJ_I8)0^pIpo*%cW!aO0`ff zIg`^~dVF{!PB^2p32!i4D;&#D)o0Wj84k!vm5+N9nQGPBjf?g26$XuZGnwqs zWTuz}h7-A(bD&hH4d;uQlRLcOsp-M#5hq!$myp^kbU7tZvam3m&t#8z>2fab%y`v& zaROuyCF_++I-jY6-jiOoRP(yzsa&t&oS4#FT)yh1YGJOF&&3O+nlNOlzR>N>J0mA* z2b`O{Y^_oR+R6Nl5;-_i-R|TNT&hi1O4Z?fZEry$d>Xy7z)RWMO>7{wUQ1^dy>!B> zWoC+O18}}to3KbCB~!->wd{O1s)v2HQ?){|h_V3rJRPjp=23PXi4!}#X(@CPOsb&; zGsW@6d?iyWS9-mi#H90;xx6>)6>=xMMD&hC6p>$aO7#Vm$EkVwN@X~IV?9$0c;Bme z@8#=Y@j|&aQpx~z-K(?BOoJ2=M@bmezeD<0$xLl9d(4TnxVNCnyPBmDU!}@+IO&~~ z=9=-)9$pbON09+F-9{2B;AxfIoL1Zn7?dhI1#bb8M=1xAgjaI1vtD&EpU)*Jyo<$h z`KVXFq7MG$kL63*qZuYB?N=>|Ea8?0LefW+(K<}#aVr``ymyI+M};}z)D{+X&Gz8( z&>k&g=z>%EqLVvbt{im^-pEqCLxaxj%;IP%mp|bQ=Vu`E*}U#2-YEKnVHeP$2_{Cp zY%v4ms?$_z!`B4-+HUye%W1-dNR=0z+*L>B3biBiWoUt!a;B2oI$NkL9M4qpTj$Xo zx9%QKI@NjHoEcx*V?(&F@7%^5BnE9CMCFXKcRpeQ%;GNZtP=ou)ONG)gC z?$U&H>=&sK&B4DOmF6J{O z^cw6h%@l1$88LS(J&9UShL-lOD@=~xA{QXx|;+(fmMD`e)_X~6Kp zjSw=Nf0a#mk^4%WT4v6hoKADB0)-fqFX|^HC-vq{7yqGUdVoX9u!Z;c}1hZhs(Gryi_erYhYXdPiYQdXn z5H{N&Y)(~1DO+#0p}uo`fzQroDghEBDi|#Gj2(z46N$K-K_Bn#N?hJ`Wmj)}b0rTs ztL86>A0C`cZ_6*t^orNkO@3&U-^2@Ls267(`sO&L%yBvfWZNMIDl;d1a zn6qjyRXyGejj8fn0sX}cE;9J^bfX)M&UP=+d`mP9^lw;4puZlv+?O#N1M>oh0mgb<2e5o*E*&t|Ms=U;K z!U$eAV`Dmj3ZT3sO+H_U^Iblx^DKVi!p;Onp%zL9Q%7kTIwFvHxyy6aLmJ4?^V&IpcEloOUBN* zr9m)O@V@b}DHyRCocCt|-H!B;M5ErRhqUR1MU^qI{s(KyxKWwWXGtlC4~)Q-ia@>c zy&Yi_UMfEeV|8iY@~Do`!B~^*Q4eY4-tuI*l201(f9Y|rmz(kc|o)$SN zs2?}!%4 z$6?)7>ZRS_iQ{Fe=+g^%#V-s2j*&vD);yf{f- zfQdN=R?nmuAO2I)kv1Al?)P?2j$G{}y7pe@43C0GgA+p-9;#V272wI+paRVyMI9>w z^)Z>6Di}qAFW?S%q`3oj1??+@EC?ZxE0062NC4TX)2rI%vPH){Rh*(%1DDXawFGO* z7W9rBI~5w}2z!l~pr)?O-a>ONb5dRA#E{2(n$ zBe{;Ar#pv8HYBxB&0(xcCHI5ndu^6`^VAVg(v{i))pPk^x*c*Zz`Nk3#?f@sz+aV) zdc0CVZ-Q7EE-Il$0LVp0gF28F-!m~f?oF5HFp5k-_=eMilg{Wgdi9B+`mEI3tD>(` z2Z%zNDayS(!r>Y4uvngfZYV4))+>2$u~wl#rNj>PpN1STf%=agiNp?&2%1mkVf0bt zgn(;EEz6GzA*Ik;+>Tx;N+sx6^-{f>2fL-STtOa|^2ebVj@2M*;BDpvyP8+r(VLN; zNUf(>rfRH}kdm-U1yVIrJgQV1$dmra!PYAk$}?5xI8+PDsMOM`0aPE{ExnZuz=vG5 zj7fSahxQf~i0MVW0$&eEQLGqF3VqT%*fGJDPj0=U* zEcCSuARvVEwG$cOhXVm!8@Qw=8X@iSyByLY%~94bhceJK3s81ts1Io(QE6atm???< z5FiE}7=-_AibISU2<8NGs*4pg?yS_BR)&d|45|@K5b=;X;w|%r4oqDusDq%%k*Ses z9FtP2VkSJ5#YE5H&@cu6L9u|LZu-O_bSW`lEu!dq6Kvk}A#d`KvuAo@(izIsb23R} zp(zaQh6rNVn<>sF7mGD`^NRH9Ig8WMT_@20Ci0cS;yiC3)Y7CZqp4bXai{>VB5}cv zuc#@GGmoY6$_WQ1INN_x2%O>wpPiR}lbnD;nCHTIx3EYf^#w##NpcJ?WQL$42Xi^} zoT@WYsg#u=na(fJ>>MmYi7c>~15<1bQzIgmvcmkG92p$OB$O%bc7b%WhYMSv@4j2DU{<^;>8=^o2+vBa@Tk zlkhWFQu)$cZQi-2T*>LjNJ`2Nn;YC=YoP@SH4M)pVJ{?iFlI)^4@~QZ4l|Y}BWD;@ z*oet7nlwo&^hn7D!`|b&i{<0VTG3fl>YnnWr5BS@Ee)p>SA}+r0}omO28u|$77%1s z{VP(o>LP5b!5O%{dI5swt@{*~M!ATGsMy{IN53^eu{@^m^h2=~RHV}VAYCK#m4O3UiPMAn% z7#>&M1;lYqw1(lZ7F5d$0i;i<62+8~sT9rkU zl6q1-`Pi@+;f;-t`F?*PR~0KAczh5BFuuA2cFQ!3{YG&BieqtcLEFpIlcQ6Z9p{gM zY{|b!3xXo$Qz+d~QB5R1DS<*|hoC5oFVYcH^;4uVm|hOk{?+m0CEYftng87F7o!LX_!_jW7Cck1T5~b7?uUwpz?Zg!PiI67Re=rU zv*%PfdLtx?@|Zs4Oy(E!HF!hICF!QHMP(jHx1KCIv5ck4B&b%Db&3IlL*tW5P?$ex zokm1V`kj&^5BwhJ8`^XnuGdZ`Pr}}HSfH)M3EPrPSU2%BOn~YFtWy@v@g$Uyj;cbr zURAnfp8ZgehwHc}U&5kGk;+AcdaOKEtK_lrj7t zdq%K`fz>2V3AOBCDEAQs3{qMIa5v4jk}=$5QYfx}j}vZQU8E)}NO^^KU_vFP_gU6Z zQZO?0qte?`*G^4yRt8_fY+;VR{5nd9p;E4>tQeiTLzW{Pf?@+Ci$|ruP9_6p4-O|2 zo+gmt747!5voJt~?-2#ajeHBkquGa|uQw$T>K)G%f!ilYmQl{|gR6WWxYoKAX!MI6$v z;xSY0wul6nn4H2G$nrExQu}Q&6uZWmI!Hm$qyeLpl|H0qCc;596^wHo1OI#;{x3{e zDwxcGfmlVP(^A-R%{9Y&6oM++AXA*mm#{1*ZdMBP6+RZyIx?o3SY{*~$v6YbF60u< z$f4=c#CA-=6)q`%dUB7K98de?NmB}WV52{G*skKoW-8;e!-Z-V?PwODiBxlWWNdH< z?g%?$ugXYOHg<3n<8Ho2#|n*-J(Y5Ok@o8%U9y-0&?k_vI4$I={5DG6+#pmx?ZpDv zZhCxG+I{1@AtwCpmKy8YTAd}ApEKUPS{}-6B9%%XzzX{4l+eFeDPt9v5q7bc2Q?A) zOih?-V<7~3vUE&(FK{W*mVQTDTlLF*Q&(fym>88=SV;^}i#L>|Jy@Sc?PWes51t_4 zq@4{KEN#QD?g)iSvrLUb%nDedpte@4`D7#W=-#7CBw@09L6~x-r<)W)plilHw^#Q$ z*hC_)h~1%{cBclX_WFT3^I$F;=~nQx)Ax+#t2_&JIyDLzDYe-7wT8ype-G(Cq_~T?^gx zV0vO?QYEs8*8>B(gC>JBj0O0vVN9W2dm`-$g&YY1S3r(p3OI~zqh*`2qlJ^63K@P= zj{i96J6k*~K9p*OQXRv--+4Vu7~xdH;=@87i+U%?6W9iD_N$(iGAtSzhDU=HLa$=W znAU*foQ`HyVd=n%chVK7k|Qc&tXzUwa+D6&Q6oW(G-OEs=mw#)NIXtnDAw?sgF#58 zgH2esHmx>OuhqB;tbV(lg~ACmZ?@#@8>Y@2MpwZXy5un2(ik(u3_?5WCY->yGZkuc z9Wjs2?m>Xz;fF-|BXh6OGVC8VpP*~5$sEleSX2!0r<>9t!Pk)!!O*HZ48_BlI5@0w zNP$JJXP|;w{yBsi1n4H{#X749aiEHg9fJgcJpeRX7KLNRf*Pta@&gL~%Un7a^8_&3@KF8OEw8lgAfA zYyqHfPF^jJofLN56b+%O(=jD=hp46Tc*m6@$j@#v+S@MbtX>mq`m-4ew9nrFo=|ra|e}FD!5^RM*X$xF)S@ zXVO&d=5e4@7A!vb?dfRljVEPB3TH`u5h`?|UW6N%$i?P@!Dd?1E>JkFiV=C1%0;Bx zaFW3dSZ1Q>X_!1XGTaraks4Hk_Oq)L?Kb1Hv(ns?^^z|~s@1`pF+Dz;eq_21>REI~ z4x$g3?4!e}kw_!;u#tR@>5<3Gcl6j-QS9@t!z%^R3?Gy^?4 zjU5ap97hD&4a#Wnaaz`j$uO24^`m{wVvuv#avH=_u#Dmp{E0jT9$ zY2T^jkaP!CL0Q&?r(2);iAo-vhF@_sIR!N`I!Q16Zak*b0TzD|_`o6@NO{J=vSg~M zy^@WOaOWcLUOs}5sxmW;P&ldaLFF5Rg*!QN5F{rDQ=>y7D>QmD#e;e?>+sN?bn<}T zf5}!P5dQI*HS&RUb#WNOr(STHs$;Y*K_`IK;}g^SN3KM=T;gjYeYa688{h9U4b)~g{(6mtWr;fgjTTr-JL%Sbgd2}dTeBD#q(<1ODq*#!&->)`WJ(Q&{^XR6?di16OI8IsV{|pB{AKjS zAT&OE4Hn7a88-_F;sWxS&7`6+y;0>PDfXVG z*g_{=#7~UQo?SYx14Y9arLH=H)%{BKNFGZ8^&_2pg=4l{Styi`U`yRmOz5jeX0c~5 z&wbrnMJr|NGx;BS(k>^jAsuEel2@t>oC%Q&@z6b7#rOuYFF8RaE#HpP1%5@1p%|p~ z@jwu?BM9xIxG0|dKxt4kZ^-$&z#QgI@!-#lA z`NH*DFh-lS29b|qGJ}nwLCJn?m5OAC<6OXG6A5B!v4BCIT3QhVz9SL_1N@Ji0#mEm!9>_Qi#8>PQC! zV0$|UT{8l!R&a#Skx~}g&R~N7AC^ggCy8x86^pt?1^A9uMjA8KABFrJ%4)=zk9J$c zdp~}Wqe&VE)1#B&`uO$i^`Ex;EK#&erD#7?IrzZEH#R=;5_UtiB^yKWD0k(J4i2Ho zA}x)EMCIWB*W1lZ+hKK*?&{%ui1`**d=a6RwDcmBfnLvXIHu}FdTvYcv}MK&*04wr z@)-<^3^=qKE|8WSuE)U0wW2y0f!4cGuVwIroU4tV}q+K;`(_ah4}oM zoW{&;H@}Dr8_N_!)eky{w82Z*arJ$Ce$82LLk1R#sG)>QMpz4F?9-C1Mm`sH52KGZ zjR{iWnADx1)%SF)mLIOElB+NZGipg_Hx@In1SdOViJ9ryS5H>Oy(m`JLN1TD9ewPG zo`5^L+n+2-k;(&;oSMQGz)H4wG&`Ru&0%3!6L7*|jX8Hl+)K!39!HXe&ZdXknYd;$ zH1pP#Wo;6n+MtS9$I(o)*kZd}O)#qLOPL8%Gf*-^cq8n~Kre#`o8g)_+Ofa5I|cUx zwNgg zEnhm*5!CUv6=gco?FJn;RL6UkvMEU>FsyTf7_MNMD7Z0$nS@O4@Mb}>TlXkLOly)!FayA< z1!O=w$VZb2O{=MqGJ`6D+(%*P0gnXDI2Ov_#<4^*L>Rj+w_*AD4N`#Fxj)&1wGGXH0rME zX&dW|AaQ<$40_Y#e*3XnKD$jS!w=&+2-u-pBM4B8WO+fDqQ{q@muwh?Hys@&phK$+ z2>gLAy|$hsVMgCDJx9e#ni{WJGX%&g>OeNPO9x^-{iXyh)rG7w#EdkU<|I6hW!s>OFof&JL5L~GCtH~1 zGeIProrPUaI*JiL)rBLK*Ahx~9jUUCQaza@8AkTP<(bfc5mem47C0*Ea&DxUS;W45 zzd=kTOzuzzG=X+6#1zNppvi1;z#>yrj$r`lP9{%i79rFMJ1YC z&k2}Wd?a*iRIDaYjeBK+DAPOa zNC4V5%v)P^`>Y9TAe~P(%}P&Ms_!{SX;o%5qG+tZFG4)h|NM3{6#Lu<#asgRt7cU< zvb4n6ittd6L0S%3#>n)f#WGU{xRT5);*IJ25_uAaDLQ3P?e@#JY!70_ii*F}OjkD; zC5!+{=QX8DeeL!f$M&Y6JxwyT2eFn{Zd((o74K+5D(Vwua7G3e)WTnP@L3&6!|r)X z?)wFpPAu9$)!Wy&B2bgGy08tSk`?8M?PsT!>ZoR;s1PT&mn~(4s`7ZUlAo1c=F=pV z4l5Bz&62t((oIJ;jI^6ZDy7y7Aq((J1zJoM9xc++5@2zlu_U8bjI7Xj(pI>Ej(7nT z6lyQO_XS1x#(<#-W-O-4IKM=8B`gu-pq?+t0dyT-K!PS0tdF@iZQ87V+1WJO`$Xtw(i=_3+6P&r5TPR`L#RVgsP?yhB7mx&h&O_ICfU$ z)HgnTsjc|nDuzG?jZROVu&QgYqaj57ooYn#+fF2ux=9leICBL1DU3Tbt1QDWX;74_ ztHeeyn_OY!1%PgIG5Xb>2s3nR;zee}{ToP*=ow*ukO&JI+<{ufR$;MOz(Vs@&={%} z*w?2-Xh|vhA(!_<${+c#@ggu{(I_*yV8_27tunoIb2AJ$p}83d(d4rip)CU*>47oI z$WR(p$3SbaUV09tSVGxAAVoAlWRIy!a)gQSd2117mp~00kZd(;pjd+Fphei;LAaI8 z?^Ka6e?}10B5Vz^9;U$?qxQQ?!-AK}k3~UvWJ6I_o1L;M{&>OO2orD2vhhwqLYSLA z?<1YZG$SY{TZFb~ zL{sv_F+ZtarW7E)YQ75M2czhT43-9i5Wo5TG?LZ4D3s?*i1MnbWQi2+57ttz$rB;o zn7U4%P#m=fEyXkariwH&Cp*f>RJhcON)jajBiQm{(xcfibn#_O5>3Vr*WoKafteK@ zm?j+<6V!7$Oq^d^li27JDGW?eO(PJPW>SetGJ%sP#!JPMr>LA^pkL7BQVB7L0^yMz z;jHxJshDQBPKaiSu<7(i&TOTJyi(gx)A`ywUNSO8TS6W13gwH%E{VYS$rFOtta?bW z>AMC|Aj(#Igyln$_*D6fF*Hm0DR}=Sm*Bkydwj;_Scn7I!Cd6@v5W&PBrY((d`y=9 zBObmxA~pmLim_x^atpV}KSTfY3pHkVl#nc%aZ8)vC3I@*W5!v3i|MKpX!@)kK&g^# zPLAH+Si^3V=&Q9MDYE$!h_7N9`(q#$KLyL=M>~oV+Ym4cEjfvjCteLs#k)JZuk75O zaP}mVSH(9oa2jW;RpZzL5g)89#7FdzS8-qCR^yj%H5!-|&e!O*gl$IzwQdmC4U9;E(a)2 zy5{!fcPzWpy{qXS9?EIwZvo6a{578nZ#dIK1`V> zkk8O+%Np}OjX0LidJ+CE;P);&MOzQG?uyonc_(lo$iwTLme1pP(9k~A+SPPz>nh@9 zJU`QD3-Y!3j^%eQyUV>BbpXbuUu^}UY#*k(d%e@L26QAF$=XosMAP?MJGSVwZ@kcH z`7&^xBJI7grhjN<`G$tuH##j_gobsuuehW6&gFM4yW4%8P;o`mYg^aFHQtBYoR&xM z9OCCt>*l7h)-}=k6P^ISa_vqFo5H}e5qLfV-w=U|5x5kA7b9>*!)#-gUjs}59c*p6 z4K*5m>Laa7WRSEykF>WVZA#Nhn4d%VW4zrbD0f?yJI<$&p85p1Med^M*ml!M$Ilh` zL%P>C-4=r=+~W?m-Wr=~T{ZMnYs=tAKHTbTK|Irb88~-I+EuS{nL63J`krMfHM(2t zDx`iK6^fP>N1n%!e{+XvqxkJDcdWRx`L5-6FMFN)OY9e8aX)={}Ei-$uG_p`&qIbs)~ceSDiQbz1Jnqoot4S3dR8 zCm=SUVkzG#XICKKW5~z85goT|o{;}Jq^(`na9r8F@~MwOouZ`V()zNTx3C=KjjM8e zJsFh+!k<9eXOPzPJ;GnKV4E(ce;)B)Li}nxNAblGwyp(V_|O)xc(YHjM(Glh=DkQW z6pY^(xzsR021aocJ^|d{M%mPvjpFY|{OT=1T?q5Ty0Lu?9LKYf1M-XEYmjfRga>1s z$#Vp8Z1*9h8>DRJxfS8ePg)4y517ve#-ltNJcNk{WmCs2NoQzzyDrb-Uns5Ny{S(W z1}UNRQJSLZf7WnZ(-W1Yy(`i0QCA~-g!v&H$De`wpjQT=7ks;LYGv!1!P8~@HfhF? zK5Bol-XR!1;ps*jJ2f#qmsh zp8#K;mvG;Xs;q2XjarB4o&fCIJ9xI~=Fk*{;|L@EC$}oQ&$83@8vK-3rn#ciX?X(A zNj+|va0EG@u)^6N4G-4I7$A1Au~B?JKM#DvNNdZr$-8SC>=tR~unldu(PNRkHa)$F zYeZ-NDZ*1$IC*5tW*qRB7>LiO@MrO;={n6MmTl5JglD^K6Hb{j;c+Yd6~ZG+o}2cF zK-)MUvGUsChpcew5>wXGcn;a{$=1D1*Q>k7sL)5V{z5MIz9>geL$~ zmJBXDimo*`Q zQQ*x!u4F^we`i4A&%iE1IOnEk4V+gllqu)&#AV=bD zK9b%?(dd2Mm}^NNY(Q_*8--xXA=Ao7(l)Sb5lI@DZcT46_7jc+<~U*EF9pmtCNB-% zOYw}lT}=@)>G0^=D<&Of^i;CJxO>lHF30%{{%rYW9T?Y(ve#m6n8X-r%^h!8*}7J2 zRmy|Gk;1b-o(#5bK7#o(X*2PBj>2&rpSs*>so~k0!)`9D#8d=>mMP;gqUPq;4vo4#i15J&u}_(`5UbGG9YQO-B<9N}3L#95d| zdYF!%E%@ugpMjT&y!bknGv6T$8`=pnbov23h7gA>pKQw`=iu)u4Ssm-b~7A5H%V@<#1+Bcq6NUV%TjGWYqZm$$u5@)*fz<4nh9>$nY~d9+_}6ZW`E(Gj{)A`JbZ0 z10V7yjz7Y@+natztVi6|5?<{Rc{X`eKMw16gY!{b;cfZ|(|-`K(&>TjGkBB?t8mJZ z38$>sV~ZVkOvU+n-js`ApGOAICP}OCb38EN@5XavO)*rz4>pLm%jrkF4UYk~X{-UZ z>5l_8W#5bE;b5Kkq^)C28p@oFZw4@A=jh5-M|`1_7nAlCc((P434i4&!h25<-e-l| zb+g+NNo3xKe&YM`O?lCDE0Olaq`SgOXV;7EZ1dh(_#||1!Lz})OXS_xqbYwm zrfJlFwCRfWJA)@$f9k?U?Xnr+hA%c=JN$+C&%W0PFL4>XFO>h#kC>mlvhn>exGR!A z3KN%&ZyDfId|DXpI%pt#R>d3*lhe>uxQUj(F8=ZJnNb5Pj8FTpUer};4ymaTWj#60 zU)RCn9zN5nL=6HKv=YWI*E!99Rxl+y__z*NCxVYFwX@+f0sv30(;9)b(^7}J4kax3 zxQ=#o=^)oRTXRGOEOVayxAXDneYfRV74q!AU5E$X>Z{-;rwtFAEtq;SZoxf(`z-i! zz&k8B379wk;s>85hxl#yYQPg#{4|s459#ypJg?)ir%Ljh_9Q;)`!iw+e-mKh>(DUc z?*@$Z|G1Kb6%udS7dIF?zlnGQ#{iSQE-OFvc_M;;mlgkKh(84U)VW;fX8E7j@uG@qK57>e1xgV4Zagr zJj=f+0^b~gZ;8OSM&Q>*;M*$I8-crgxLNvdw*}t?e1sJ~72j*c|0d!I+wnWB__rdSupNJe75@i_Cv3-GWyQY- z@r3R8J}dr1h$n2v_gnEFM?7H@|CfNx$JYng*Qmmjd}A}24^v*bhtrNPS}^0ef76bC zO9Xy{PtOYHZ%{sAj=XH2F9Lo$V9rlWdl6RnRybd6Kri=bs(3~3XDpcXaG$1$C(M1B zHq8B&2BtiG#)6ridoE2pVeYv!Fw<|oAb=T<`Clmh{&iuvr8%h2w@@F#c7I!C#eWC! zgzfm%Ry=gOL)gThkA__X7{NaO2m{1N^`G-B_!6WitmHw(ueIX0A%d_S{|i?9Rfr&L z$FH;EM-f5Tj^AL#Pa}e`9e=Ao{=3ruZnxkp6Y7tVSMFt1L8|?W*pq5twg>m98d&-} z_MsY>@!XecV8$kiJojDN@%LIV{Gxuvlz}gAKri=SnRupuy9JLmNY8y(cKT0PFw5t@EIYm{5x`O(3ubvK3uZj` zYT5bcEtv7#t7XUEYr%}?ekwcuAq!?a_g9&C!rWhF;CzGna$l8+C%s#+Kghr*8>Hv{ zArsH^-|Y!tmdCw7CY~_&0@*P40vY(82Kc#O$HYr}?FeAvd#?pEe)#fmJon+)`5(4m z=I0(9JAO6xx)@mKwP51kY{87@o*a{&@w+UT@!X?h$G_5o-`1eM+-qZ}pTHg$1HZ39 zdhU-g@qdo^>-ByaGyi|D6)*L*;J-uq#{n0Cz@*=dy)g!#)X##SMf$CPQ&#$#70>kC zTVwLOP=qG||GrMoccKm;j;QNFHuj4?VKSuv^?NJ3aIX#Gf7hw9A$baJJ zdYp+T%(XBZ=Gu@Ab8X1LyBn0pwHp(E81b(G%(WcT-tWaajEQG?k49jw)0p@h8sInn zJ7Q%1+F+3W=?3YGRy@<6u;4M@C9C{J0K?!n1(?5Ma)~C{~0s zfZv4v_JqdwD!@0werJB-|7E~;Y*g>*5&kW}Z2#vaf3x#8z^_}c-VtQ{I{|+HNBQa3)sl_ zY7pK5*e-uN;55eHnogevOn;!^?(Af0iX5Il%O{ne;aTeizC&@^dHP z%fJu(2tB`rhZNRx6uuSCn*n#77V!HI0ULQ<4f@{$cv{Q*YP9$J0oRaz7x1zDKMeR0 zz+B5B{3KxZM@3Jw^Ot~k!am~uYVzan0e>3&;yzHqUj|J7fuhHCz6JP8z{hnG;`=V( zzr}p=YdZgOEIHZyJ1+uX0+{|z)}Q%%06z$RbpU4n+Xf}zX#an*Pj4B7yLK!^CVz=;`~|-{ryS6Hom_D{5a&D z30VF=0seE)&;CmI`+%=l8StNiZs-36z&9>a_6gHp3V6b5?*!m~hQF<(@eKoh*E;pC zG1HF&UV!{;(eX2YPoTVEz~twRfG5zOl>S)ZybAE3epiS2?*?qk`)@G6)gBK3?zQ^k z`vKD*ZQAQ2fUmIPzX+J)nURm@0N25vl%|hMjMvB1yLv4DY```@E(3f3`iE<~j86f^ z80PnvDZtMG-#Q(CE#Lym11RY|!1OmO`kI{^0NeO)2K-T!Z`%K!Nc@`soAp!npZ^N@ zQH!4sN78>B@OqR_e3aL}Vm$1<`!zjZiKPDlV2|so&m{fbIIu03JrX>F;rH>Ja1$xggIi&U_^Os{nr={2~8{ z|4o2v7XF6-|03jZvyT50z?Y!EKce9e1GdZm8^C`JeQnz7i-2wZeuMeppE3OU*GT?m zEIHfw&W*rZxgXi2lHSU=|2GYajc)k5l?sya1Q)u7V696fRBLxZvjmH zzXmYv4UYG0pZ@|F@67t`b3b64o_7Gg67%~fke>M84>*SM9@FqA0e=Af`)<^W@m~Ub z@_hAv0O79#UWM_K;~~rc4&cWzzPwWF&(jg{4)li(jc+qxynpM{+XI;EK}z0NI6DD< z9Qv(Ir{~KlS3^G-{^tSzEy_3WMr8bZ&}+uOD&ikR`wr{;Hv@hb{IRBeUkBK({~G~6 zYSI57;NOCLqPhIn2KY;lS+nfAdj*8m!TnPA|fp3>ie=*?qfuC#-*1t27eh*--->Leqa8iKJ zMf>g5_^$>0as6&T^ZzsSIX*tW<|FuC1^6|{PkADJcLBETfnSfLe-JR&FOB@Z6Y$4f zbv6vk`%onPCjq}4^Fbq@&jC)ro-U$1reARu@{{}}Kp=wFln zF~BxGe*t(q><_;0#r$6ajE}VcSx(G(7VrY>+ixO}@u#2dI9z`=^7JymHoh$pcm%L5 zA7g;OYSEhooB?e3R|kBrB_B5dw(;KsI0kt&^7TL@{+)o2TK(;@Nc>*_9=7=R&w%$@ z@c#k)M`%wnfb~5a`|hzPz@Lx34DfsOxe|t7bjz0m|uJ7vs$1Qvh0DjuiH@_Q6{{g_?$M|66_49yJR{fp>%=LfL zOL=k{ohns!hRWsIu|CAOtp#wm}d*Psxj{| z!s*E2q&i$vQlFj0x$T}eve(-UOe5aV$R3Co_U4M^8C=tr!wno&FH`5OB%8)cUf#%j(@pt`90l&=<-k51Gw-O&PO{~CspiaNY6r_j9t5uL zlvZ)MY*DAmWh?rr0&lm9trWY>6Hc|&VYoy9tX!yqn(RDoyqD3=e|E)qS83#|p}Y*Xmo5C;`F6%hqM$kt><2`sUi;8Z}`ruKK_Uh@lHh z)y3RsnEDLpui^@>QjJnn%9P4mkIgoQJvIw-&R0xMKnvw#d6RPi&05Z~fvPi=qx@aq zanBmY&wM_!2#Lyd_9nV;f3UeiRbN>dg7y86OTyIIvEg9-NrZ2?_2Ug?; zmnC71io)r&s0Yt8U3v#V_^@%6#y+H)Aq~%}50~s8klWzY)No3DNMGd4!t7PsxRB<=7zp)HJn+OozE0XTj#RbOl6@|F6Hx?nZmZ2LTOuP=QecQZHU>1sI83K zDv_6Wc6TPW)#h;x*|uYsZ(Z011yn%4erZb-O|r0vvgDrT z^dTa|ZTGzRw^Ex>2oNfFMM)^J;Z#SJ1Tn-c5gzU~%^vkUt-ifV9=AqS3&-*v&PmtT zo$}HloO2pndW76KvmU2whp%<^?+`SX__0(gv%dc+Q^~38;|)h5mxp^kBTJ;s@zRA7 z&%FkjQ{bt8A)v|5QlzGG`v>p)3My5}_TnhmTzNrPXX48%M@}as1>E@d+g#Y^r7pr)HvtgCuI&y#b}tsHHU#bG`y!! zsyS4}*ESoWq?2B5{Gls4)fN zl=dcZ>ub%KFLWclS2g2_mhCRPg1D@{+Z#D(2SJ=t9_~I>S6jGr{gU2A;l|nT5E-21?Rls(@3qBf?ge$^nfoFtRcZLC z2^`dKi8DA=If@#x#n@T((dD?ItduXxEgK$gw+C0I2h$U{me9(I?uns4oA>nX2KD1| zSu`3P!?xT2ps~s6sE`l#T9)ItuDTW_=oLL)?S%Ae)ewdz-G7z5DZM1j9!>@wAYVGR zq)Q{wq-Q6plF3Vw8Cz4Upg7cPGepyiL&p94NyF?^ zrX8iTg5FMIEW6G*fUDf6L)}Q19q4$6`q*j$FE4TqgTbTF^0IrIy36gfIOsMX2oyy} zU9sTbn3WtHOL&uqLgG>3bz0Th1X|@<-93Drqep?LB2{9*`$MI%rSYVRg+eqiiVm@j z{Sz0Ibwja-dz6P}fH=>AY|-5nTy20GAc6+8dVe=X2P0;+T+FA+b7;0|b+KNnLbPp; z?65haY(m{}pvO4(z*TV^%s^wPC8*pjz9Tw;do1^O$#D!X!Qdbod@qefQQ&sTA-3YO zI}ev^d)1z9Zx(lMgUI}BsKZxk!`CQ%IJh@FY+kE^c?Du(yO#*5CDUV!M(}4SoUtt8 zDD@?_2KwrvvrssZuk6m2(8>pND-#ndE&W(Yu{39N=<~0a6@pd2m44@ILhx$I3IFPP zRdp{S*ymI*XG#@tEPK9^*x@Xek7MRIJL{cps*EoN}z@oeEluI51fg|ui?#4_0%>xGIfV(I)sI#Yr|_H{ADmD432{mmIuFmfoZ zi~5Q!BScRfO#1m?AInkQX4J3XpnjP;d4-UShN{SRAjVT)aU_fhb*KYVLtbja)BQOl zAZDah4W(4I5URV*RJM$ph*_u@=VpK=#=RQj^dY+m^c>7llipB0LLF%F_(IXw2dbUa zYz-4+%*9IVaS89hgpHeIjFz%0HHPDY&QWo$xn_8eozh5Ll2^fd6B!JI!Ie9q2G{(g zj?O^#VR!J(lgO=6dY%-$dB`8PQv1~n7P?<>+*Buwt6B_A?zf5aa0e1>I%%hn7Vz>i zB#W5>gCj6dJqRI;Kz3ToIyHi&I(JYJMTI`VJd>jrK@HqRn%6R_EMWn=c5sd7oVPez zItb?ooGsEwhz5tE_h2gPZ6Z?x-%3>2Fo7oRn%epbz#L&;Qy@7Sm0e zTS{KJg&a()=zT!v)lDJ2-t-t>3yFQDjF#C#u?G31ctXpKdNYuUWTu$ax`}9{*GY#| z<6(%sK28~=iny0obPqM4hjt!Pw;9;n&=wusO;ub1ukPznS~n24>g-}LqUxH9c?AtV zi1Q|@Cl_j&8T{5N>UZ8e;R*rom`IJ&DQ0(Pr7RNNS)iRIemJMovR*n>ENzxf%pP&? zoj6uh_vjp)Om{-IDuo$L$dDqJpQ+>C?9y!6JZENRD*0muf_^uT8O(j=f;?fUSSaO9 zLXhrsQsZDkZNkJ82yf?$`|yYh`nKsG#RqO+oV&^Z{V|Uh1LiZfU&#Blt70*ywhMs% z(ErQNR{R-!+&6LSV9c2o@47@gCf#;`Sc<|5pjsdQ%qX1BDv5kE9sdULhb0+F$9+>z zBb~v4V0k8-#vjWiK78V=5!My_kHI~K5I!><*Bz#pqvmi6n~%YL2myXNtS3ybYI2sb z^7_NF__+apz_lm-_bV%%MIe#~T2e zXU2SoPWCscH2gMkZ?>K#_N~jDF07H8kKxN7BEaNfT-QU(oCz{se@wbZ0o&u1iPVmt5{#I~h(#JbxKU_qAVNE@y_AluX0Fr}eK1FaE}I2k(veAJcA3 zt7Tc@o_^nQXV)fmZpO`sWI8Nq$(!0*RwUiJXO=tvhP49oAs&9XJY=W4;M>ccf0h{a HWzzj$600$S diff --git a/01_Matlab/02_Figures/motor_winding.png b/docs/pictures/motor_winding.png similarity index 100% rename from 01_Matlab/02_Figures/motor_winding.png rename to docs/pictures/motor_winding.png diff --git a/platformio.ini b/platformio.ini index a745180..3a36b38 100644 --- a/platformio.ini +++ b/platformio.ini @@ -15,6 +15,7 @@ src_dir = Src ;default_envs = VARIANT_PPM ; Variant for RC-Remotes with PPM-Sum signal ;default_envs = VARIANT_IBUS ; Variant for RC-Remotes with FLYSKY IBUS ;default_envs = VARIANT_HOVERCAR ; Variant for HOVERCAR build +;default_envs = VARIANT_HOVERBOARD ; Variant for HOVERBOARD ;default_envs = VARIANT_TRANSPOTTER ; Variant for TRANSPOTTER build https://github.com/NiklasFauth/hoverboard-firmware-hack/wiki/Build-Instruction:-TranspOtter https://hackaday.io/project/161891-transpotter-ng ;================================================================ @@ -152,6 +153,30 @@ build_flags = ;================================================================ +[env:VARIANT_HOVERBOARD] +platform = ststm32 +framework = stm32cube +board = genericSTM32F103RC +debug_tool = stlink +upload_protocol = stlink + +; Serial Port settings (make sure the COM port is correct) +monitor_port = COM5 +monitor_speed = 38400 + +build_flags = + -I${PROJECT_DIR}/inc/ + -DUSE_HAL_DRIVER + -DSTM32F103xE + -Wl,-T./STM32F103RCTx_FLASH.ld + -Wl,-lc + -Wl,-lm + -g -ggdb ; to generate correctly the 'firmware.elf' for STM STUDIO vizualization +# -Wl,-lnosys + -D VARIANT_HOVERBOARD + +;================================================================ + [env:VARIANT_TRANSPOTTER] platform = ststm32 framework = stm32cube